比特派最新版本下载|soc

作者: 比特派最新版本下载
2024-03-07 21:10:57

soc(系统级芯片)_百度百科

系统级芯片)_百度百科 网页新闻贴吧知道网盘图片视频地图文库资讯采购百科百度首页登录注册进入词条全站搜索帮助首页秒懂百科特色百科知识专题加入百科百科团队权威合作下载百科APP个人中心soc是一个多义词,请在下列义项上选择浏览(共3个义项)展开添加义项soc播报讨论上传视频系统级芯片收藏查看我的收藏0有用+10本词条由“科普中国”科学百科词条编写与应用工作项目 审核 。SoC的定义多种多样,由于其内涵丰富、应用范围广,很难给出准确定义。一般说来, SoC称为系统级芯片,也有称片上系统,意指它是一个产品,是一个有专用目标的集成电路,其中包含完整系统并有嵌入软件的全部内容。同时它又是一种技术,用以实现从确定系统功能开始,到软/硬件划分,并完成设计的整个过程。 [1]System on Chips,完整集成了 CPU、GPU、通信等模块的手机主芯片。 [6]中文名系统级芯片外文名System on Chip缩    写SoC释    义集成电路、技术功    能确定系统功能,软/硬件划分,完成设计目录1英文解析2片上系统▪综述▪技术发展▪技术特点▪优势▪存在问题▪核心技术▪设计思想▪基本结构▪设计基础▪设计过程▪设计方法学▪应用动态英文解析播报编辑SOC,或者SoC,是一个缩写,包括的意思有:1)SoC:System on Chip的缩写,称为系统级芯片,也有称片上系统,意指它是一个产品,是一个有专用目标的集成电路,其中包含完整系统并有嵌入软件的全部内容。2)SOC: Security Operations Center的缩写,属于信息安全领域的安全运行中心。3)民航SOC:System Operations Center的缩写,指民航领域的指挥控制系统。4)一个是Service-Oriented Computing,“面向服务的计算”5)SOC(Signal Operation Control) 中文名为信号操作控制器,它不是创造概念的发明,而是针对工业自动化现状提出的一种融合性产品。它采用的技术是正在工业现场大量使用的成熟技术,但又不是对现有技术的简单堆砌,是对众多实用技术进行封装、接口、集成,形成全新的一体化的控制器,可由一个控制器就可以完成作业,称为SOC。6)SOC(start-of-conversion ),启动转换。7)SOC:short-open calibration, 短开路校准。片上系统播报编辑从狭义角度讲,它是信息系统核心的芯片集成,是将系统关键部件集成在一块芯片上;从广义角度讲, SoC是一个微小型系统,如果说中央处理器(CPU)是大脑,那么SoC就是包括大脑、心脏、眼睛和手的系统。国内外学术界一般倾向将SoC定义为将微处理器、模拟IP(Intellectual Property)核、数字IP核和存储器(或片外存储控制接口)集成在单一芯片上,它通常是客户定制的,或是面向特定用途的标准产品。SoC定义的基本内容主要在两方面:其一是它的构成,其二是它形成过程。系统级芯片的构成可以是系统级芯片控制逻辑模块、微处理器/微控制器CPU 内核模块、数字信号处理器DSP模块、嵌入的存储器模块、和外部进行通讯的接口模块、含有ADC /DAC 的模拟前端模块、电源提供和功耗管理模块,对于一个无线SoC还有射频前端模块、用户定义逻辑(它可以由FPGA 或ASIC实现)以及微电子机械模块,更重要的是一个SoC 芯片内嵌有基本软件(RDOS或COS以及其他应用软件)模块或可载入的用户软件等。系统级芯片形成或产生过程包含以下三个方面:1) 基于单片集成系统的软硬件协同设计和验证;2) 再利用逻辑面积技术使用和产能占有比例有效提高即开发和研究IP核生成及复用技术,特别是大容量的存储模块嵌入的重复应用等;IP核复用技术在SoC芯片设计中被广泛采用。先进工艺条件下,SoC系统级芯片设计规模越来越大,芯片上所集成的IP种类和数量也随之暴增。IP数据如何高效管理和追踪变得尤为重要。 [4]3) 超深亚微米(VDSM) 、纳米集成电路的设计理论和技术。SoC设计的关键技术SoC关键技术主要包括总线架构技术、IP核可复用技术、软硬件协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术,并且包含做嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域。综述SoC是System on Chip的缩写,直译是“芯片级系统”,通常简称“片上系统”。因为涉及到“Chip”,SoC身上也会体现出“集成电路”与“芯片”之间的联系和区别,其相关内容包括集成电路的设计、系统集成、芯片设计、生产、封装、测试等等。跟“芯片”的定义类似,SoC更强调的是一个整体,在集成电路领域,给它的定义为:由多个具有特定功能的集成电路组合在一个芯片上形成的系统或产品,其中包含完整的硬件系统及其承载的嵌入式软件。这意味着,在单个芯片上,就能完成一个电子系统的功能,而这个系统在以前往往需要一个或多个电路板,以及板上的各种电子器件、芯片和互连线共同配合来实现。前面我们说集成电路的时候提到过楼房对平房的集成,而SoC可以看作是城镇对楼房的集成;宾馆、饭店、商场、超市、医院、学校、汽车站和大量的住宅,集中在一起,构成了一个小镇的功能,满足人们吃住行的基本需求。SoC更多的是对处理器(包括CPU、DSP)、存储器、各种接口控制模块、各种互联总线的集成,其典型代表为手机芯片(参见术语“终端芯片”的介绍)。SoC还达不到单芯片实现一个传统的电子产品的程度,可以说SoC只是实现了一个小镇的功能,还不能实现一个城市的功能。SOC集成电路SoC有两个显著的特点:一是硬件规模庞大,通常基于IP设计模式;二是软件比重大,需要进行软硬件协同设计。可以类比为城市相比农村的优势很明显:配套齐全、交通便利、效率高。SoC也有类似特点:在单个芯片上集成了更多配套的电路,节省了集成电路的面积,也就节省了成本,相当于城市的能源利用率提高了;片上互联相当于城市的快速道路,高速、低耗,原来分布在电路板上的各器件之间的信息传输,集中到同一个芯片中,相当于本来要坐长途汽车才能到达的地方,已经挪到城里来了,坐一趟地铁或BRT就到了,这样明显速度快了很多;城市的第三产业发达,更具有竞争力,而SoC上的软件则相当于城市的服务业务,不单硬件好,软件也要好;同样一套硬件,今天可以用来做某件事,明天又可以用来做另一件事,类似于城市中整个社会的资源配置和调度、利用率方面的提高。可见SoC在性能、成本、功耗、可靠性,以及生命周期与适用范围各方面都有明显的优势,因此它是集成电路设计发展的必然趋势。在性能和功耗敏感的终端芯片领域,SoC已占据主导地位;而且其应用正在扩展到更广的领域。单芯片实现完整的电子系统,是IC 产业未来的发展方向。技术发展集成电路的发展已有40年的历史,它一直遵循摩尔所指示的规律推进,现已进入深亚微米阶段。由于信息市场的需求和微电子自身的发展,引发了以微细加工(集成电路特征尺寸不断缩小)为主要特征的多种工艺集成技术和面向应用的系统级芯片的发展。随着半导体产业进入超深亚微米乃至纳米加工时代,在单一集成电路芯片上就可以实现一个复杂的电子系统,诸如手机芯片、数字电视芯片、DVD 芯片等。在未来几年内,上亿个晶体管、几千万个逻辑门都可望在单一芯片上实现。 SoC (System - on - Chip)设计技术始于20世纪90年代中期,随着半导体工艺技术的发展,IC设计者能够将愈来愈复杂的功能集成到单硅片上, SoC正是在集成电路( IC)向集成系统( IS)转变的大方向下产生的。1994年Motorola发布的FlexCore系统(用来制作基于68000和PowerPC的定制微处理器)和1995年LSILogic公司为Sony公司设计的SoC,可能是基于IP( IntellectualProperty)核完成SoC设计的最早报导。由于SoC可以充分利用已有的设计积累,显著地提高了ASIC的设计能力,因此发展非常迅速,引起了工业界和学术界的关注。 [2]SOC是集成电路发展的必然趋势,是技术发展的必然,也是IC 产业未来的发展。技术特点半导体工艺技术的系统集成软件系统和硬件系统的集成优势降低耗电量减少体积增加系统功能提高速度节省成本存在问题当前芯片设计业正面临着一系列的挑战,系统芯片SoC已经成为IC设计业界的焦点, SoC性能越来越强,规模越来越大。SoC芯片的规模一般远大于普通的ASIC,同时由于深亚微米工艺带来的设计困难等,使得SoC设计的复杂度大大提高。在SoC设计中,仿真与验证是SoC设计流程中最复杂、最耗时的环节,约占整个芯片开发周期的50%~80% ,采用先进的设计与仿真验证方法成为SoC设计成功的关键。SoC技术的发展趋势是基于SoC开发平台,基于平台的设计是一种可以达到最大程度系统重用的面向集成的设计方法,分享IP核开发与系统集成成果,不断重整价值链,在关注面积、延迟、功耗的基础上,向成品率、可靠性、电磁干扰(EMI) 噪声、成本、易用性等转移,使系统级集成能力快速发展。 所谓SoC技术,是一种高度集成化、固件化的系统集成技术。使用SoC技术设计系统的核心思想,就是要把整个应用电子系统全部集成在一个芯片中。在使用SoC技术设计应用系统,除了那些无法集成的外部电路或机械部分以外,其他所有的系统电路全部集成在一起。核心技术系统功能集成是SoC的核心技术。在传统的应用电子系统设计中,需要根据设计要求的功能模块对整个系统进行综合,即根据设计要求的功能,寻找相应的集成电路,再根据设计要求的技术指标设计所选电路的连接形式和参数。这种设计的结果是一个以功能集成电路为基础,器件分布式的应用电子系统结构。设计结果能否满足设计要求不仅取决于电路芯片的技术参数,而且与整个系统PCB版图的电磁兼容特性有关。同时,对于需要实现数字化的系统,往往还需要有单片机等参与,所以还必须考虑分布式系统对电路固件特性的影响。很明显,传统应用电子系统的实现采用的是分布功能综合技术。对于SoC来说,应用电子系统的设计也是根据功能和参数要求设计系统,但与传统方法有着本质的差别。SoC不是以功能电路为基础的分布式系统综合技术。而是以功能IP为基础的系统固件和电路综合技术。首先,功能的实现不再针对功能电路进行综合,而是针对系统整体固件实现进行电路综合,也就是利用IP技术对系统整体进行电路结合。其次,电路设计的最终结果与IP功能模块和固件特性有关,而与PCB板上电路分块的方式和连线技术基本无关。因此,使设计结果的电磁兼容特性得到极大提高。换句话说,就是所设计的结果十分接近理想设计目标。SoC设计的关键技术主要包括总线架构技术、IP核可复用技术、软硬件协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术等,此外还要做嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域。设计思想固件集成是SoC的基础设计思想在传统分布式综合设计技术中,系统的固件特性往往难以达到最优,原因是所使用的是分布式功能综合技术。一般情况下,功能集成电路为了满足尽可能多的使用面,必须考虑两个设计目标:一个是能满足多种应用领域的功能控制要求目标;另一个是要考虑满足较大范围应用功能和技术指标。因此,功能集成电路(也就是定制式集成电路)必须在I/O和控制方面附加若干电路,以使一般用户能得到尽可能多的开发性能。但是,定制式电路设计的应用电子系统不易达到最佳,特别是固件特性更是具有相当大的分散性。对于SoC来说,从SoC的核心技术可以看出,使用SoC技术设计应用电子系统的基本设计思想就是实现全系统的固件集成。用户只须根据需要选择并改进各部分模块和嵌入结构,就能实现充分优化的固件特性,而不必花时间熟悉定制电路的开发技术。固件基础的突发优点就是系统能更接近理想系统,更容易实现设计要求。基本结构嵌入式系统是SoC的基本结构在使用SoC技术设计的应用电子系统中,可以十分方便地实现嵌入式结构。各种嵌入结构的实现十分简单,只要根据系统需要选择相应的内核,再根据设计要求选择之相配合的IP模块,就可以完成整个系统硬件结构。尤其是采用智能化电路综合技术时,可以更充分地实现整个系统的固件特性,使系统更加接近理想设计要求。必须指出,SoC的这种嵌入式结构可以大大地缩短应用系统设计开发周期。设计基础IP是SoC的设计基础传统应用电子设计工程师面对的是各种定制式集成电路,而使用SoC技术的电子系统设计工程师所面对的是一个巨大的IP库,所有设计工作都是以IP模块为基础。SoC技术使应用电子系统设计工程师变成了一个面向应用的电子器件设计工程师。由此可见,SoC是以IP模块为基础的设计技术,IP是SoC应用的基础。设计过程SoC技术中的不同阶段用SoC技术设计应用电子系统的几个阶段。在功能设计阶段,设计者必须充分考虑系统的固件特性,并利用固件特性进行综合功能设计。当功能设计完成后,就可以进入IP综合阶段。IP综合阶段的任务利用强大的IP库实现系统的功能IP结合结束后,首先进行功能仿真,以检查是否实现了系统的设计功能要求。功能仿真通过后,就是电路仿真,目的是检查IP模块组成的电路能否实现设计功能并达到相应的设计技术指标。设计的最后阶段是对制造好的SoC产品进行相应的测试,以便调整各种技术参数,确定应用参数。SoC芯片生产过程的每个步骤都有其相对应的测试步骤,包括设计验证、晶圆测试、最终测试和系统级测试。 [5]设计方法学1、设计重用技术数百万门规模的系统级芯片设计,不能一切从头开始,要将设计建立在较高的层次上。需要更多地采用IP复用技术,只有这样,才能较快地完成设计,保证设计成功,得到价格低的 SoC,满足市场需求。设计再利用是建立在芯核(CORE)基础上的,它是将己经验证的各种超级宏单元模块电路制成芯核,以便以后的设计利用。芯核通常分为三种,一种称为硬核,具有和特定工艺相连系的物理版图,己被投片测试验证。可被新设计作为特定的功能模块直接调用。第二种是软核,是用硬件描述语言或C语言写成,用于功能仿真。第三种是固核(firm core),是在软核的基础上开发的,是一种可综合的并带有布局规划的软核。设计时候复用方法在很大程度上要依靠固核,将RTL级描述结合具体标准单元库进行逻辑综合优化,形成门级网表,再通过布局布线工具最终形成设计所需的硬核。这种软的RTL综合方法提供一些设计灵活性,可以结合具体应用,适当修改描述,并重新验证,满足具体应用要求。另外随着工艺技术的发展,也可利用新的库重新综合优化、布局布线、重新验证以获得新工艺条件下的硬核。用这种方法实现设计再利用和传统的模块设计方法相比其效率可以提高2-3倍,因此,0.35um工艺以前的设计再利用多用这种RTL软核2、综合方法实现随着工艺技术的发展,深亚微米(DSM)使系统级芯片更大更复杂。这种综合方法将遇到新的问题,因为随着工艺向0.18um或更小尺寸发展,需要精确处理的不是门延迟而是互连线延迟。再加之数百兆的时钟频率,信号间时序关系十分严格,因此很难用软的RTL综合方法达到设计再利用的目的。建立在芯核基础上的系统级芯片设计,使设计方法从电路设计转向系统设计,设计重心将从今天的逻辑综合、门级布局布线、后模拟转向系统级模拟,软硬件联合仿真,以及若干个芯核组合在一起的物理设计。迫使设计业向两极分化,一是转向系统,利用IP设计高性能高复杂的专用系统。另一方面是设计DSM下的芯核步入物理层设计,使DSM芯核 能更好并可预测。3、低功耗的设计技术随着市场需求的多样化,系统级芯片的设计已经不仅仅是对面积和性能的要求,对功耗的要求也已经越来越高,因为在芯片设计中,要考虑面积、性能、功耗等多方面的平衡 [3]。系统级芯片因为百万门以上的集成度和数百兆时钟频率下工作,将有数十瓦乃至上百瓦的功耗。巨大的功耗给使用封装以及可靠性方面都带来问题,因此降低功耗的设计是系统级芯片设计的必然要求。尤其是随着智能和移动设备的快速发展,芯片的功耗成为SoC 设计中一个非常重要的指标 [3]。设计中应从多方面着手降低芯片功耗。应用动态2014年8月20日,国产彩电巨头创维在京召开以“见证奇G的时刻”为主题的新品发布会,高调发布全球首款GLED电视。此次发布会堪称重量级,不仅创维集团高层领导悉数出席,更是邀请到工信部刁司长,以及国内160余家主流媒体及行业专家。会上工信部刁司长发表了讲话,讲话内容表示:创维集团与华为海思以项目为纽带结成了紧密的合作伙伴,并成功研制我国首款自主研发并成功实现量产的高端智能电视芯片,芯片性能优于市场同类芯片,对改变我国彩电行业缺芯少屏的局面,提升电子信息产业核心竞争力有着重要的意义!工信部刁司长(2张)2014年8月21日《新闻联播》报道:“中国本土企业创维联合海思自主研发的智能电视SOC芯片研制成功并首次实现量产。搭载这款芯片的创维GLED新品的系统速度、解码能力等智能电视核心性能居行业领先水平。”同时,创维此“智能电视SOC芯片研发及产业化”项目已经申报“核心电子器件、高端通用芯片及基础软件产品”国家科技重大专项(简称“核高基重大专项”)课题,创维将与海思在芯片定义、芯片验证、芯片的整机研发和产业化等核心领域展开深度合作。首批搭载此芯片的创维G8200系列新品4000台已于2014年8月20日上市。除华为海思外,国内唯一还在坚持自研手机 SoC 的团队只有小米玄戒。该公司成立于 2021 年底,法定代表人为小米高级副总裁曾学忠。《财经》了解到,玄戒团队也吸收了部分前哲库工程师,玄戒设计的手机 SoC 已有一定进展。 [7]新手上路成长任务编辑入门编辑规则本人编辑我有疑问内容质疑在线客服官方贴吧意见反馈投诉建议举报不良信息未通过词条申诉投诉侵权信息封禁查询与解封©2024 Baidu 使用百度前必读 | 百科协议 | 隐私政策 | 百度百科合作平台 | 京ICP证030173号 京公网安备110000020000

动力电池系统-SOC-概念 - 知乎

动力电池系统-SOC-概念 - 知乎首发于Powertrain Weekly切换模式写文章登录/注册动力电池系统-SOC-概念Vincent Chen电动汽车,三电系统相关设计SOC定义电池的荷电状态,英文单词为State of Charge,简称SOC,顾名思义就是指电池中剩余电荷的可用状态,一般用一个百分比来表示。最经典的SoC的定义可以用以下式子来表示: (1) SOC=Q_remain/Q_rated ×100% 其中为电池的标称(额定)的电荷容量,为电池中剩余的电荷余量。如果认为为一个不变的值,也就是认为剩余的电荷余量总是等于标称容量减去已放出的电荷量的话,则SoC可以用以下一个等效的式子来表示: (2) SOC=Q_remain/(Q_discharged+Q_remain )×100% 其中Q_discharged表示在最近一次充满电之后,电池中已经放掉的电荷。剩余电量及SoC概念的正确理解要正确理解剩余电量的概念,需要注意以下几个问题:标称容量Q_rated与实际最大电荷容量Q_ture的区别表1给出的是A、B、C三个厂家所提供的全新的电池样本的实际电倚容量与标称值之间的对比。表1三个全新电池样本实际电荷容量与标称值对比(测试温度25℃,放电倍率0.02C) A厂家样本 B厂家样本 C厂家样本标称值Q_rated 100Ah 100Ah 80Ah实际最大容量Q_true 115Ah 103Ah 83Ah表中可见,电池所能放出的实际电荷量与标称值并不完全相等。另外,随着电池的老化,电池所能放出的实际最大电荷量也在不断交小。因此,在实际工作中,我们给出两点建议:第一,式(1)的分母可以采用实际最大电荷容量Q_ture,前提是这个实际最大电荷容量是可以准确获得的,这可以通过经常对电池进行评测,不断对实际最大容量Q_ture进行校准来获得(或者通过实时在线估算实际最大可用容量)。第二,在实际最大电荷容量Q_ture不可准确获得的情况下,应采用标称容量Q_rated,因为Q_rated是不随时间等因素变化的,容易通过SoC的值换算出Q_remain。当然,采用Q_rated作分母可能会导致某些时候SOC的评估值会出现大于100%的情况,这也是允许的。剩余电荷Q_remain受多种因素影响,并不能完全释放。一般而言,式(1)的经典定义中的分子Q_remain,受多种因素影响,并不能完全释放。如果剩余电量的评估是为了估算电动汽车所能行驶的剩余里程,使用广义的剩余电量的概念是不合适的。从放电倍率特性测试的结果来看,某一个动力电池在某一时刻所带的剩余电量虽然是一定的,但它们并不一定能完全以电动汽车所需求的功率释放出来。这可以类比在日常生活中,我们使用手机时,在手机“低电”报警状态下,一打电话就马上自动关机,但如果重新开机以后,又可以继续待机几个小时。这说明电池里面并非没有剩余电荷,而是剩余电荷不能按照用户的需求以较大电流释放出来。表2为,A厂家标称为100Ah的一个新的动力电池样本在不同温度、不同放电倍率条件下实际可放出的电荷数量。表2同一电池样本在不同温度下以不同倍率放电的容量(注:标称电量100Ah) 0.2C(20A) 0.5C(50A) 1.0C(100A)25℃ 110Ah 105Ah 100Ah40℃ 112Ah 108Ah 103Ah从表中可以看出,一个充满了的电池所能放出的电荷的最大值受放电倍率、环境温度等因素影响,不是一个恒常的值,也不能完全等同于标称容量Q_rated。已放电荷Q_discharged并不一定可以测量使用式(2)隐含了一个前提条件,就是电池的上一次充电是100%充满的。在动力电池的实际使用中常常会出现以下情况:电池在使用一段时间以后进行短暂充电,但未及充满之前就断开充电机准备继续使用。此时Q_discharged的计量就失去了意义,无法通过式(2)来评估SoC的值了。这种情况在油电混合动力汽车中更为普遍。发布于 2019-09-23 16:03SoC电池动力电池​赞同 73​​5 条评论​分享​喜欢​收藏​申请转载​文章被以下专栏收录Powertrain Weekly 成就自己

CPU、MPU、MCU、SOC的概念与区别 - 知乎

CPU、MPU、MCU、SOC的概念与区别 - 知乎切换模式写文章登录/注册CPU、MPU、MCU、SOC的概念与区别信盈达​已认证账号概念1.1 CPU(Central Processing Unit)CPU(Central Processing Unit),是一台计算机的运算核心和控制核心。CPU由运算器、控制器和寄存器及实现它们之间联系的数据、控制及状态的总线构成。众所周知的三级流水线:取址、译码、执行的对象就是CPU,差不多所有的CPU的运作原理可分为四个阶段:提取(Fetch)、解码(Decode)、执行(Execute)和写回(Writeback)。 CPU从存储器或高速缓冲存储器中取出指令,放入指令寄存器,并对指令译码,并执行指令。所谓的计算机的可编程性主要是指对CPU的编程。1.2 MPU (Micro Processor Unit)MPU (Micro Processor Unit),叫微处理器(不是微控制器MCU,很多人会把微处理器和微控制器混淆),通常代表一个功能强大的CPU(暂且理解为增强版的CPU吧),但不是为任何已有的特定计算目的而设计的芯片。这种芯片往往是个人计算机和高端工作站的核心CPU。例如Intel 的X86,ARM的一些Cortex-A芯片如飞思卡尔i.MX6、全志A20、TI AM335X等都属于MPU。1.3 MCU(Micro Control Unit)MCU(Micro Control Unit):叫微控制器,其实就是我们平常说的单片机。是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时计数器和多种I/O接口集成在一片芯片上,形成芯片级的芯片,比如51、STC、AVR、Cortex-M这些芯片,内部除了CPU外还有RAM、ROM,也就是在一块芯片中集成了整个计算机系统,可以直接加简单的外围器件(电阻,电容)就可以运行代码了。它本质上仍是一个完整的单片机,有处理器,有各种接口,所有的开发都是基于已经存在的系统架构,应用者要做的就是开发软件程序和加外部设备。而像ARM(Cortex-A系列)直接放代码是运行不了的,因为它本质上只是增强版的CPU,必须添加相应的RAM和ROM。1.4 SoC(System on Chip)SoC(System on Chip,整体的一个电路系统,完成一个具体功能的东西):指的是片上系统,MCU只是芯片级的芯片,而SoC是系统级的芯片,它既MCU那样有内置RAM、ROM同时又像MPU那样强大,不单单是放简单的代码,可以放系统级的代码,也就是说可以运行操作系统(以Linux OS为主)(将就认为是MCU集成化与MPU强处理力各优点二合一)。1.5 SoPC(System On a Programmable Chip)与上述几项概念相比,SoPC的出现频率并不是那么高,但这并不影响它的重要性。SOPC是System On a Programmable Chip的缩写,即 可编程片上系统,SoPC与MCU、MPU、SoC最明显的区别在于:可更改硬件配置,也就是说自己构造芯片。举个例子说明便于理解,单片机的硬件配置是固化好了的, 我们能够编程修改的就是软件配置,本来是串口通信功能,通过修改代码变成AD采样功能,也就是说硬件配置是固定了的,我们只能通过修改软件来选择其中的一项或多项功能;而SoPC可以修改硬件配置信息使其成为相应的芯片,可以是MCU,也可以是SoC。区别2.1 MCU和MPU的区别MCU在一块芯片中集成了整个计算机系统,可以直接加简单的外围器件(电阻,电容)就可以运行代码了。它本质上仍是一个完整的单片机,有处理器,有各种接口,所有的开发都是基于已经存在的系统架构,应用者要做的就是开发软件程序和加外部设备。MPU如ARM的Cortex-A系列,直接放代码是运行不了的,因为它本质上只是增强版的CPU,必须添加相应的RAM和ROM。2.2 CPU与SoC的区别SoC可以认为是将MCU集成化与MPU强处理力各优点二合一,其中MCU是CPU集成了各类外设,MPU是增强版的CPU。可以从下图中直观地看出CPU与SoC的区别。目前芯片的发展方向是从CPU到SoC,现在已经没有纯粹的CPU了,都是SoC。ARM出卖的内核其实就是CPU(当然还需要总线),各种外设是半导体厂商自己添加的。2.3 SoPC与MCU、MPU、SoC的区别SoPC与MCU、MPU、SoC最明显的区别在于:可更改硬件配置,也就是说自己构造芯片。举个例子说明便于理解,单片机的硬件配置是固化好了的, 我们能够编程修改的就是软件配置,本来是串口通信功能,通过修改代码变成AD采样功能,也就是说硬件配置是固定了的,我们只能通过修改软件来选择其中的一项或多项功能;而SoPC可以修改硬件配置信息使其成为相应的芯片,可以是MCU,也可以是SoC。版权声明:本文为CSDN博主「吮指原味张」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。版权归原作者所有,如有侵权,请联系删除。原文链接:CPU、MPU、MCU、SOC的概念与区别_吮指原味张的博客-CSDN博客_soc发布于 2022-07-02 18:00中央处理器 (CPU)SoCMCU​赞同 25​​2 条评论​分享​喜欢​收藏​申请

嵌入式设备里,SOC与MCU的区别是什么? - 知乎

嵌入式设备里,SOC与MCU的区别是什么? - 知乎首页知乎知学堂发现等你来答​切换模式登录/注册ARM嵌入式系统单片机嵌入式开发嵌入式设备里,SOC与MCU的区别是什么?公司方案里由MCU方案和SOC方案,没学过嵌入式,完全看不出区别? SOC是多了个简单的操作系统吗?显示全部 ​关注者376被浏览622,891关注问题​写回答​邀请回答​好问题 22​1 条评论​分享​47 个回答默认排序lyt78一个没钱也会讲情怀的讲究人​ 关注MCU:微控制器,可以跑程序的数字逻辑芯片,就是内核加外设。解释麻烦,上图吧。。。这个是STM32F1的结构,就是一个M3核加些外设MPU:微处理器,就是在MCU基础上频率高些,外设复杂些,内核加了MMU能跑LINUXIMX28x的结构,比STM32复杂一点吧。。SOC:芯片公司把IP核买来,集成其他一些电路,应用于一些专业领域。TI的CC26xx,集成了射频电路和一个M0的核跑协议栈。这个是ARM9的核集成了一些音视频处理的电路,应用很多的。。其他的还有集成DSP、FPGA的。。总之,MCU/MPU一般是单一内核集成一些通用的外设,应用范围广,SOC是在这些芯片的基础上,集成了其他的核或电路,为某一特定领域打造的。发布于 2019-04-12 14:52​赞同 140​​添加评论​分享​收藏​喜欢收起​snowdream​出身自动化,热爱嵌入式软件,喜欢金融投资的国企平台产品设计师​ 关注在嵌入式行业也算奋斗了十多年,期间MCU,SOC都有过深入的接触。尤其在规划产品选择期间的过程中,也曾经为了选择MCU还是SOC做过很多思考和讨论。看到了题主的题目,那么就从我个人的理解角度,和题主及各位知友们聊一下我眼中MCU与SOC有什么区别吧。从字面的理解上看,一个是MCU(Micro Controller Unit),即嵌入式微控制器;一个是Soc(System On Chip),即片上系统。好像真的就是差了一个嵌入式系统的区别。但是,当你真正开始使用他们做研发和产品设计的时候就会发现:两者在软件系统有差别外,硬件上也千差万别。【软件系统的差别】:都可以跑系统,但是量级不是一个等级。现在的很多主流MCU,随着芯片集成工艺的提高和进步,RAM/CPU等指标较以前有了大幅度的提高。所以,现在很多MCU是可以跑一些简单的RTOS系统的,比如常见的ucos,freertos,liteos等。当然,这些所谓的系统与SOC跑的ucLinux,嵌入式Linux等操作系统相比较绝对不是一个数量等级的。【硬件设计的区别】:SOC功能更偏重具体的应用领域;MCU比较通用化。现在主流的MCU中,意法半导体的stm32算是一款比较受到市场欢迎的MCU。可以说,很多领域和场景下都有它的身影。比如视频处理器,智能家居,智能穿戴等等,所以在某些性能要求不高的领域中,MCU具有通用性,即符合很多应用领域的需要。而SOC,为了解决具体的应用领域场景,做了硬件及资源的升级。比如我们常见的德州TI816X系列SOC,Hisillicon的Hi3536等SOC,专门为了应用在视频处理领域,做了大幅的资源升级。在SOC中,包含了很多硬件核资源以及软件的视频处理单元,极大的减少了嵌入式工程师的工作量。说的有些不具体,下面举个简单的例子来说明一下。比如我们需要设计一款普通的视频处理器,基本的功能需求就是能够处理基本的VGA/HDMI视频输入,并进行处理后的视频输出(比如叠加OSD,比如进行视频图像缩放处理等等)。那么MCU和SOC的设计方案就有很大的不同:MCU方案:SOC方案:虽然SOC价格相比MCU很高,但是的确在硬件电路和方案的设计上,极大的节省了成本(包括人力的开发成本)。为什么这么说呢,我们以Hi3536 SOC芯片为例:里面内置集成了大量的硬件外设资源,不需要像MCU那样进行HDMI/VGA外设芯片的连接;同时提供的多种视频处理软件单元,基本覆盖了我们需要的OSD/视频缩放功能,可以极大的缩短产品研发周期,加快产品上市速度。更重要的是,MCU的百兆级的处理速度,远远无法与SOC的G的处理速度相提并论。这里,推荐给题主一本关于嵌入式的普及类图书。里面对SOC的讲解比较详尽和权威。便于题主了解嵌入式SOC的一些基本知识。以上,仅代表个人观点,仅供题主参考。不喜勿喷。另外,如果对嵌入式开发和相关问题存在疑惑,欢迎随时沟通交流:感谢您的阅读,我是snowdream,一个专注自动化和嵌入式技术,喜欢金融投资的国企人。编辑于 2022-07-05 08:15​赞同 212​​12 条评论​分享​收藏​喜欢

什么是安全运营中心 (SOC)?

什么是安全运营中心 (SOC)?

安全运营中心 (SOC)

安全运营中心通过统一和协调所有网络安全技术和运营来提高组织的威胁检测、响应和预防能力。

什么是安全运营中心 (SOC)

安全运营中心 (SOC) 有时也称为信息安全运营中心 (ISOC),是 IT 安全专业人员的内部或外包团队,可 24/7 式全天候监控组织的整个 IT 基础架构,以实时检测网络安全事件,并尽可能快速而有效地解决问题。

SOC 还负责筛选、运营和维护组织的网络安全技术,并持续分析威胁数据,以找到方法来改善组织的安全态势。

运营或外包 SOC 的主要优势在于它可统一并协调组织的安全工具、实践以及对安全事件的响应。 这通常能够改进预防措施和安全策略、更快地检测威胁以及对安全威胁作出更快、更有效且更具成本效益的响应。 SOC 还可以提高客户信心,简化并加强组织针对行业、国家和全球隐私法规的合规性。

安全运营中心 (SOC) 的职责

SOC 的活动和职责分为三大类。

准备、规划和预防

资产库存。 SOC 需要维护一份详尽的清单,其中涉及数据中心内外需要加以保护的一切内容(如应用、数据库、服务器、云服务、终端等),以及为这些内容提供保护的所有工具(防火墙、防病毒/防恶意软件/防勒索软件工具、监控软件等)。 许多 SOC 都采用资产发现解决方案来处理此项任务。

例行维护和准备。 为了最大限度提高现有安全工具和措施的有效性,SOC 会执行预防性维护,如应用软件补丁和升级,并不断更新防火墙、白名单和黑名单以及安全策略和程序。 SOC 还会创建系统备份,或协助创建备份策略或程序,以确保在发生数据泄露、勒索软件攻击或其他网络安全事件时的业务连续性。

事件响应规划。 SOC 负责制定组织的事件响应计划,该计划定义了发生威胁或事件时的活动、角色、责任,以及衡量任何事件响应成功与否的指标。

定期测试。 SOC 团队将执行全面的漏洞评估,确定每项资源存在的潜在威胁漏洞及关联成本。 它还将执行渗透测试,在另一系统上模拟特定攻击。 团队会根据这些测试的结果对应用、安全策略、最佳实践和事件响应计划进行修补或调优。

随时了解最新情况。 SOC 可随时了解最新的安全解决方案和技术,以及最新的威胁情报,比如从社交媒体、行业资源和暗网收集的有关网络攻击和实施这些攻击的黑客的新闻和信息。

监控、检测和响应

持续、全天候的安全监控。 SOC 以 24/7/365 方式全天候监控整个扩展 IT 基础架构,包括应用、服务器、系统软件、计算设备、云工作负载和网络,找出已知漏洞的迹象和任何可疑活动。

对于许多 SOC 而言,核心监控、检测和响应技术已属 安全信息和事件管理 (SIEM) 的范畴。 SIEM 会实时监控和汇集来自网络上软件和硬件的警报和遥测数据,然后分析数据以识别潜在威胁。 最近,一些 SOC 还采用了扩展检测和响应 (XDR) 技术,该技术提供了更详细的遥测和监控数据,且能够自动执行事件检测和响应。

日志管理。 日志管理用于收集和分析每个网络事件所生成的日志数据,也属于监控的一部分,其重要性不言而喻。 虽然大多数 IT 部门都会收集日志数据,但往往通过分析才能建立常规或基线活动,并找出表明存在可疑活动的异常。 事实上,许多黑客得逞的原因便是公司不一定会分析日志数据,这让他们的病毒和恶意软件能够在受害者系统中运行数周乃至数月而不被察觉。 大多数 SIEM 解决方案都包含日志管理功能。

威胁检测。 SOC 团队通过噪音对信号进行分类,从误报中找出真正的网络威胁和黑客攻击,然后再按严重程度对威胁进行分类。 现代 SIEM 解决方案包含了人工智能 (AI),它能让这些流程自动从数据中进行“学习”,从而随时间推移更好地发现可疑活动。

事件响应。 为应对威胁或实际事件,SOC 采取各种措施来减少损害。 这些措施可能包括:

• 根本原因调查,以确定造成黑客能够访问系统的技术漏洞,以及导致该事件的其他因素(如密码卫生不良或策略执行不力)

• 关闭受感染的终端或断开其网络连接

• 隔离网络的受损区域或重新路由网络流量

• 暂停或停止受感染的应用或进程

• 删除损坏或受感染的文件

• 运行防病毒或反恶意软件

• 针对内部和外部用户停用密码。

许多 XDR 解决方案使 SOC 能够自动执行并加速这些措施和其他事件响应措施。

恢复、优化和合规性

恢复和补救。 一旦事件得到控制,SOC 就会消除威胁,然后将受影响资产恢复到事件发生前的状态(例如擦除、恢复和重新连接磁盘、最终用户设备和其他终端;恢复网络流量;重新启动应用和进程)。 如果发生数据泄露或勒索软件攻击,恢复过程还可能涉及切换到备份系统,以及重置密码和身份验证凭证。

事后分析和优化。 为防止事件再次发生,SOC 会利用从事件中获得的任何新情报来更好地解决漏洞、更新流程和策略、选择新的网络安全工具或修改事件响应计划。 在更高层面上,SOC 团队还可能试图确定此事件是否意味着出现了新的或变化的网络安全趋势,需要团队做好应对准备。

合规性管理。 SOC 的职责是确保所有应用、系统和安全工具与流程符合数据隐私法规,如 GDPR(全球数据保护条例)、CCPA(加州消费者隐私法案)、PCI DSS(支付卡行业数据安全标准),以及 HIPAA(健康保险可移植性与责任法案)。 事件发生后,SOC 将确保依照法规通知用户、监管机构、执法部门和其他各方,并保留所需的事件数据以供取证和审计。

安全运营中心 (SOC) 团队主要成员

一般来说,SOC 团队的主要角色包括:

• SOC 经理,负责管理团队,监督所有安全操作,并向组织的 CISO(首席信息安全官)报告。

• 安全工程师,负责构建和管理组织的安全架构。 其中大部分工作涉及评估、测试、推荐、实施和维护安全工具和技术。 安全工程师还会与开发或 DevOps/DevSecOps 团队合作,确保组织的安全架构包含在应用开发周期中。

• 安全分析师,也称为安全调查员或事件响应者,他们实际上是网络安全威胁或事件的第一响应者。 分析师负责检测、调查和分类(划分优先级)威胁;然后确定受影响的主机、终端和用户,并采取适当的措施来减轻影响,遏制威胁或事件继续蔓延。 (在某些组织中,调查员和事件响应者分别归类为第 1 层和第 2 层分析师。)

• 威胁猎手(也称为安全分析专家),专门检测和遏制高级威胁,即设法绕过自动防御的新型威胁或威胁变种。

SOC 团队可能包括其他专家,具体取决于组织的规模或其开展业务的行业。 较大的公司可能会安排一名事件响应总监,负责沟通和协调事件响应。 某些 SOC 还包括取证调查员,他们专门从网络安全事件中的受损设备或被攻击设备中检索数据,找出线索。

DevOps

DevSecOps

安全运营中心 (SOC) 和 IBM

IBM Security QRadar XDR 是 IT 安全行业中首个综合性 XDR 解决方案,采用开放标准和自动化流程,将终端检测和响应 (EDR)、网络检测和响应 (NDR) 以及 SIEM 功能统一到一个工作流程中。 借助 QRadar XDR,SOC 可以通过连接洞察、简化工作流程和利用 AI 自动响应,节省宝贵的时间,更快地消除威胁。

IBM Security QRadar XDR 解决方案套件包括:

• QRadar XDR Connect,它集成了安全工具、简化了工作流程、根据安全团队的技能和需求进行了调整,并实现了 SOC 自动化。

• QRadar SIEM,具有智能安全分析功能,可自动分析来自网络上数千台设备、终端和应用的日志与流数据,提供对最严重威胁的可行洞察。

• QRadar Network Insights,提供实时网络流量分析,让 SOC 团队获得了所需的深度可见性,从而能尽早检测出隐藏威胁。

• QRadar SOAR(安全统筹与自动化响应),将事件响应流程编入动态手册,帮助安全团队自信地响应、实现智能自动化,并始终如一地开展协作。

报名参加 IBM 安全框架和发现研讨会

Gartner 将 IBM 评为 2021 年 SIEM 魔力象限领导者

开始使用 IBM Security

什么是SOC芯片? - 知乎

什么是SOC芯片? - 知乎首发于IC验证转行那点事切换模式写文章登录/注册什么是SOC芯片?不二鱼​苏州大学 材料科学与工程硕士从这篇文章开始,我会以一个从材料转行IC验证的人,一个纯小白的角度,更新在转行验证这一路我所接触的一些概念性的问题,以作为抛砖引玉之用。如果是科班,这些概念可能在我们求学阶段就应该烂熟于心了,所以我们后期也要加强学习,赶上他们。一直以来都有很多人问我,SOC是什么?FPGA怎么样?嵌入式又是什么?和ASIC又有什么区别?这些问题够我更新很久的了,希望接下来,我能把这些问题都讲清楚。我也在持续学习的过程当中,如果有说得不对的地方,欢迎留言批评指正。SOC是我转行IC验证之后接触的第一个概念,说实话,在我转行入职的时候,对于硬件,我完全没有什么概念,压根不知道什么是SOC。有的概念可能你目前也不知道,所以我推荐一本唐朔飞老师写的《计算机组成原理》,这本相对于我之前推荐的那本,会更加简单一点。SOC,是System on Chip的缩写,翻译过来就是系统级芯片,也有称片上系统。既然是系统,单个就称不上系统,只有多个个体的组合才能称之为系统,所以,SOC强调的是一个整体。用“麻雀虽小五脏俱全”来形容SoC,再确切不过了。SoC是模仿计算机系统,微缩成了一个微系统。在我接触过的SOC芯片中,硬件的大概的组成是:核心(core),存储,外设接口(高速外设和低速外设),总线,中断模块,时钟模块等。在验证阶段,这些都是用verilog代码实现的,你是看不到实体的。先简单说一下这几个的概念,以后再逐一展开。核心类似于计算机中的CPU(中央处理器),包含多个小模块,存储分为很多种,像SRAM,DRAM,ROM之类的;外设接口可以理解为芯片对外的通信接口,与外界交互的接口;总线就像一根藤,而核心、存储、外设就像挂在藤上的葫芦娃,总线是这些“葫芦娃“交流的窗口。SOC是一个整体的概念,再细化一下,便到了每个模块。在一个SOC成型之前,设计工程师用verilog代码把每个模块敲出了,粗略进行模块级的验证,在模块级验证通过之后,会通过总线把各个模块集成在一起。目前来说,应用比较广泛的总线协议是AMBA总线,包括AXI,ASB,AHB,APB,我在工作中最常接触到的就是AXI和APB,前者主要是挂一些高速的核心外设,DDR之类的,APB主要是挂一下低速的外设,先大概了解一下,以后再细讲。“挂”概念就是,通过例化化相互间的信号线连在一起。国内很多公司都是买的IP,也就是模块,然后把这些IP,集成到一起,组成一个SOC芯片。默认情况下,这些IP都是好的,所以这类公司的验证工程师干的活就是验证连接性,也就是验证集成是否正确,功能是否达到。这种对包括核心、外设、总线和存储等的验证,这也就是系统级的验证。当然,并不是所有的模块都是买,也有一些小的模块是可以自己写的。看到这里,不知道你对SOC的概念,有没有多一点理解,希望对你有帮助。如果有帮助,也麻烦你给我点个赞,你的鼓励,是我最大的动力,感谢!编辑于 2021-05-27 15:48嵌入式系统SoC芯片(集成电路)​赞同 314​​28 条评论​分享​喜欢​收藏​申请转载​文章被以下专栏收录IC验证转行那点事记录转行IC验证的故事,普及基

SOC深度报告,发展趋势、技术特点、产业链一文看懂|芯东西内参_腾讯新闻

SOC深度报告,发展趋势、技术特点、产业链一文看懂|芯东西内参_腾讯新闻

SOC深度报告,发展趋势、技术特点、产业链一文看懂|芯东西内参

片上系统SoC(System on Chip),即在一块芯片上集成一整个信息处理系统,简单来说 SoC芯片是在中央处理器CPU的基础上扩展音视频功能和专用接口的超大规模集成电路,是智能设备的“大脑”。随着半导体工艺的发展,传统MCU已经不能完全满足智能终端的需求,SoC应运而生,凭借其性能强、功耗低、灵活度高的特点,使单芯片能够完成完整的电子系统。SoC在移动计算(例如智能手机和平板电脑)和边缘计算市场中非常普遍。它们也常用于嵌入式系统,如WiFi路由器和物联网。

本期的智能内参,我们推荐海通国际的报告《SOC芯片研究框架》,详解SOC技术细节、产业链和成长驱动力。

来源 海通国际

原标题:

《SOC芯片研究框架》

作者:郑宏达 华晋书

一、SOC:一块芯片上集成的整个信息处理系统

片上系统SoC(System on Chip),即在一块芯片上集成一整个信息处理系统,简单来说 SoC芯片是在中央处理器CPU的基础上扩展音视频功能和专用接口的超大规模集成电路,是智能设备的“大脑”。应用处理器AP(Application Processor)是SoC中包含CPU在内的所有计算芯片的集成物。智能手机SoC通常包含AP和基带处理器BP等,AP负责应用程序的运行,BP负责收发无线信号。有时将AP和SoC混用。

随着半导体工艺的发展,传统MCU已经不能完全满足智能终端的需求,SoC应运而生,凭借其性能强、功耗低、灵活度高的特点,使单芯片能够完成完整的电子系统。SoC在移动计算(例如智能手机和平板电脑)和边缘计算市场中非常普遍。它们也常用于嵌入式系统,如WiFi路由器和物联网。

当前 SoC已成为功能最丰富的硬件,集成了 CPU、GPU、RAM、ADC、DAC、Modem、高速DSP 等各个功能模块,部分SoC还集成了电源管理模块、各种外部设备的控制模块,同时还需要考虑各总线的分布利用等。

IP 核(Intellectual Property Core),即知识产权核,在集成电路设计行业中指已验证、可重复利用、具有某种确定功能的芯片设计模块。SoC是以IP模块为基础的设计技术,IP是SoC应用的基础。 IP 核可以划分为CPU、GPU、DSP、VPU、总线、接口等6个类别,也可按软核、固核、硬核分类。

▲IP核分类

SoC的概念和设计技术始于20世纪90年代中期。早期芯片设计难度较低,半导体公司多为集设计、制造、封测为一体的IDM厂商。随着半导体产业和工艺的进步,往后芯片随着摩尔定律不断更新迭代,晶片设计和制造的成本和难度均大幅上升,单一厂商难以承担高额研发及制造费用。20世纪80年代,台积电的成立不断引导半导体产业朝“Fabless(设计)+Foundry(制造)+OSAT(封测)”分工方向发展。

1990年IP龙头Arm诞生,开创了IP核授权模式。Arm负责芯片架构设计,并将IP核授权给Fabless厂商。随着超大规模集成电路的发展,集成电路(IC)逐渐向集成系统(IS)转变,IC设计厂商趋向于将复杂功能集成到单硅片上,SoC的概念逐渐形成。例如,三星等厂商根据产品需求将基于ARM架构的CPU处理器和各类外围IP组合得到包含许多组件的SoC,根据不同应用需求,内部组件封装不尽相同。1994 年Motorola发布的Flex Core系统和1995年LSILogic公司为Sony公司设计的SoC, 是基于IP核完成SoC设计的最早报导。

▲半导体产业两种运作模式

一般来说,一个SoC芯片由设计厂商自主设计的电路和多个外购IP核组成。IP核复用即向IP厂商购买已有的IP核,并进行布局、连接、检查和验证。IP核授权模式能够在SoC中调用已设计好的具有独立功能的模块,一方面能够简化设计流程、加快了设计速度,降低设计难度,另一方面符合半导体分工发展的模式,使IC设计公司能摆脱IDM模式的束缚和壁垒,专注芯片设计,从而带动IC设计行业的发展。

典型的SoC包括以下部分:一个或多个处理器内核,可以是MCU、MPU、数字信号处理器或专用指令集处理器内核;

存储器:可以是RAM、ROM、EEPROM或闪存;

用于提供时间脉冲信号的振荡器和锁相环电路;

由计数器和计时器、电源电路组成的外设;

不同标准的连线接口,如USB、火线、以太网、通用异步收发;

用于在数字信号和模拟信号之间转换的ADC/DAC;

电压调理电路及稳压器。

在外设内部,各组件通过芯片上的互联总线相互连接。ARM公司推出的AMBA片上总线主要包括高性能系统总线AHB、通用系统总线ASB、外围互联总线APB、可拓展接口AXI。AHB主要针对高效率、高频宽及快速系统模块;ASB可用于某些高速且不必要使用AHB 总线的场合作为系统总线;APB主要用于低速、低功率的外围,AXI在AMBA3.0协议中增加,可以用于ARM和FPGA的高速数据交互。

MCU(Micro Control Unit)微控制器,芯片级的芯片。MCU将计算机的CPU、RAM、ROM、定时计数器和多种I/O接口集成在一片芯片上,只提供最少的内存、接口、处理能力等,专注于小型嵌入式控制系统或控制应用程序。

SoC是系统级的芯片,可能包含许多MCU,适用于具有更多要求和更复杂的应用程序。SoC是一个完整的单芯片计算机系统,能够执行具有更高资源需求的复杂任务。

▲MCU芯片示意图

指令集是CPU的一种设计模式,分为精简指令集RISC和复杂指令集CISC两种。其中,ARM、MIPS、Power、Alpha等均是基于RISC架构,X86则是基于CISC的架构。X86架构占据了服务器和桌面领域的垄断地位,ARM架构占据了嵌入式领域的绝大部分市场,而MIPS、Power、RISC-V等也在相关特殊领域占有一定的市场份额。

SoC处理器内核通常都使用ARM、RISC-V指令集架构,因为在嵌入式和移动计算市场中面积和功率通常受严格限制。

▲指令集

ARM开发了ARM架构并授权其他公司使用并自主开发SoC,当前ARM架构在移动端核心CPU占据绝对主导份额。从1985年ARMv1架构诞生起到2021年,ARM架构已发展到第九代。2021年正式推出的ARMv9指令集,在兼容ARMv8的基础上进一步提升处理器性能、安全性、矢量计算、机器学习和数字信号处理。基于ARMv9发开的处理器将在2022年正式商用,可能应用于下一代骁龙等SoC。

▲ARM架构

ARMv7架构开始,ARM改以Cortex命名,并分为“应用”配置Cortex-A系列,“嵌入式”配置Cortex-R系列、“微处理器”配置ARM Cortex-M系列。Cortex-A面向高性能应用处理器内核,如智能手机、平板电脑、机顶盒、网络设备、服务器等。Cortex-R针对高性能实时应用场景,如汽车应用、消费电子等。Cortex-M系列主要面向嵌入式设备和IoT设备,对功耗和尺寸要求较高,应用于微控制器、传感器、通信模组、智能家居等。

▲ARM架构发展历程

近20年,智能移动设备兴起,基于精简指令集架构的ARM内核IP凭借着低成本、高性能、低功耗的特点和IP授权模式,在智能手机、平板电脑等移动终端SoC等下游领域取得成功,占据了绝大部分市场份额,有强势定价权。国内主要半导体厂商和用户如华为、小米、中兴、瑞芯微等设计的商用SoC和物联网设备绝大多数使用ARM技术。

ARM核心收费模式:授权费(license fee)、版税(royalty)。ARM授权技术给芯片设计公司,设计公司缴纳授权费,生产芯片后,发芯片给OEM终端客户,并按芯片发货量缴纳版税给ARM,终端厂商付费给芯片代工厂;ARM也会为终端厂商提供技术和业务支持。

▲ARM主流授权模式

AI、5G、边缘计算的发展对计算技术提出新的需求,但绝大多数指令集架构都受到专利保护,如x86、MIPS、Alpha,遏制了创新发展。先前的指令集架构较复杂,且应用领域较单一,且不便于对特定应用进行自定义扩展,缺乏适用于多个领域的统一架构。为此,加州大学伯克利分校研究人员设计了新的指令集架构RISC-V,并以BSD授权的方式开源。近两年RISC-V架构大热,生态也发展较快,比较适合低功耗的应用场景,其开源、精简、可修改等特点决定了RISC-V将在物联网时代拥有巨大的发展前景,未来很可能发展成为世界主流指令结构之一。

RISC-V已有多个版本的处理器内核和SoC芯片,其中部分是开源免费,部分是商业公司开发用于内部项目。RISC-V发展已经过国内许多商业化应用验证,也是我国发展自主可控国产CPU的重要途径,但软件生态还需不断完善。Semico Research研究结果显示,未来RISC-V将被大量运用于包括计算机、消费、通讯、运输和工业市场在内的细分市场,到2025年,采用RISC-V架构的芯片数量将增至624亿颗,复合增长率高达146%。

▲部分国内外RISC-V处理器和SoC平台

SoC的发展是性能、算力、功耗、工艺难度几方面的平衡。当前AI成为各大SoC厂商的必争之地,同时对算法提出更高要求,在功耗受限的场景下实现AI算法成为关键,算力效率(单位算力的成本和功耗)极为重要。以苹果A14SoC为例,A14使用5nm工艺,和A13相比CPU性能提升16%,GPU提升10%左右,AI加速器Neural Engine的性能提升则接近100%。未来应用于手机、平板、服务器等高端SoC将继续朝高性能发展。

SoC在追求高性能和低功耗的智能手机、平板电脑等芯片领域已占据主导地位,在自动驾驶、AIoT等领域也已得到应用,随着AIoT、5G的不断发展,未来还将向更为广阔的应用领域扩展。此外,数据大爆炸时代对边缘计算算力提出更高要求,智能硬件需求量也将持续上涨。据Yole预计,2019年全球应用处理器 AP市场规模为340亿美元,2025年将增长到560亿美元,复合增长率8.7%,市场规模有望持续扩大。

能够抓住趋势精准布局的IC设计厂商将在市场大潮中快速占领市场份额。从国内厂商来看,瑞芯微、全志科技等在平板电脑市场、晶晨股份等在机顶盒市场、国科微等在卫星电视市场、富瀚微等在模拟监控摄像头ISP芯片市场、博通集成等在2019年汽车ETC市场都抓住了机会。

▲2019-2025年全球AP市场收入(单位:亿美元)

二、SoC产业链概况

▲SoC产业链概况

产业链上游概况:设计工具寡头竞争。设计工具寡头竞争,上游议价能力强。SoC产业链上游可分为知识产权核(IP核)和相关EDA工具;知识产权核主要公司有 ARM、Synopsys、Cadence等, EDA工具的核心企业有Cadence、Synopsys 和Mentor Graphics。

上游设计工具行业集中度较高,当前全球核心IP 主要由 ARM、Synopsys、Cadence 提供,合计占比近 65% ,全球 EDA 产业主要由Cadence、Synopsys 和西门子旗下的 Mentor Graphics 垄断,三大 EDA 企业占全球市场的份额超过 60%,上游厂商议价能力较强。

▲IP核2019年竞争格局

行业集中度高,国内厂商市占率较低。全球IP核供应商以国外厂商为主,行业集中度相对较高:国内集成电路设计企业所需的IP核大多来自境外供应商,每年进口金额10亿美元以上,占全球市场的1/3左右。中国大陆的IP核供应商有50家左右,普遍实力较弱。国内也有规模较大的企业,如总部在上海的芯原( Verisilicon),市场占有率已跻身全球前十,但与欧美“三巨头”相比还有很大差距。

IP 核本身是产业链不断专业化的产物,是芯片设计知识产权的重要体现,也是半导体产业链下一步升级的重要方向。产业每一轮专业化升级都有其内在的供需原因,且往往是追求规模成本效应的结果。

EDA 公司提供给IC 公司的一般都是全套工具,因此EDA 集成度高的公司产品更有优势。EDA三巨头基本都能提供全套的芯片设计EDA 解决方案。

Synopsys 行业领先的IC Compiler™ II布局布线解决方案提供了单一供应商所能提供的最全面设计平台,加速大规模AI处理器的实现。

Cadence 的强项在于模拟或混合信号的定制化电路和版图设计。数字后端工具Innovus可以在满足功耗/面积预算要求下实现最佳的性能、或者在满足频率指标的同时确保功耗/面积最小。

Mentor Graphic 同样在后端布局布线比较强,在 PCB 上也很有优势,它的优势是Calibresignoff 和 DFT。2016年并入西门子。

▲EDA 工具软件分类

产业链中游情况:高端、次高端、专用型SoC特点。高端SoC芯片主要集中于手机、平板电脑、服务器市场等,次高端SoC芯片多应用于安防、智能音频、物联网等领域,专用型SoC芯片多应用于TWS耳机和智能手表等。

制程工艺的迭代更新导致SoC芯片的性能和价格分化。晶体管数量的提升导致CPU、GPU、NPU等IP核的升级。最新高端SoC芯片制程为5nm,专用SoC芯片如智能音频芯片的制程普遍在16nm-55nm之间。

制程会影响芯片面积,并因此直接影响芯片价格,通过增大芯片面积,一个芯片中可以放下更多的晶体管。理论上,芯片面积越小的SoC成本越低,同等技术水平和制程下,晶体管/芯片面积的大小和性能输出直接相关。

时钟频率是指同步电路中时钟的基础频率,它是评定CPU性能的重要指标。一般来说主频数字值越大越好。高端和次高端SoC芯片的时钟频率一般在以GHz计量,专用型SoC芯片的时钟频率多以MHz计量(1GHz=1000MHz)。

目前高端SoC芯片多以一个超大核心加多个中核心、小核心架构设计,经过多年来迭代更新,基于ARM的CPU核心不断升级,在制程工艺、主频、性能上大幅度提升。同时高端SoC芯片尤其是移动端芯片一般会添加集成式或外挂式基带,以此实现移动接入、电话等传统移动终端功能。

高端SoC芯片如天玑1200采用A78构架,1个A78主频3.0GHz的大核心,3个A78主频2.6GHz的中核心和4个A55主频2.04GHz的小核心。骁龙865CPU采用Cortex A77主频2.84GHz超级大核和三个Cortex A77 2.84GHz普通大核+四个Cortex A55 1.8GHz小核心架构。麒麟9000 CPU架构为一个3.13GHz A77大核心、三个2.54GHz A77中核心、四个2.04GHz A55小核心。

▲部分高端SoC芯片架构对比

高通骁龙888 移动平台是行业首个采用ARM Cortex X1 架构的移动平台,CPU 为 Kryo 680 CPU,其采用了全新 CPU 架构。具体来说,其包含一枚最高主频2.84GHz 的 Cortex X1 核心,3 枚最高主频 2.4GHz 的 Cortex A78 核心和 4 枚最高主频 1.8GHz 的 Cortex A55 核心,延续一个超级核心+ 3 个高性能核心 + 4 个能效核心的三丛集架构。

5月25日,Arm正式推出了新一代的CPU和GPU核心,包括全新的Cortex-X2、Cortex-A710、Cortex-A510等三款CPU核心以及Mali-G710 GPU。三个CPU核心均基于今年4月份发布的Armv9架构指令集设计。高通新一款代号为SM8450的处理器,该芯片采用4nm工艺打造,CPU采用Kryo 780架构,该架构基于最新的Arm v9指令集。

次高端SoC芯片多应用于安防、智能音频、物联网等领域,对算力要求相比智能手机、服务器等略低,近年来次高端SoC芯片架构逐步从单核心到多核心、从大核心到大核心+小核心的架构变化升级。

次高端SoC芯片目前制程以工艺成熟的28nm为主,部分公司先进产品进入12nm-14nm规格。CPU多以Cortex-A53、Cortex-A7架构为核心,主频普遍在1.2GHz以上。

专用SoC芯片应用领域有TWS耳机、智能手表等,此类SoC芯片开发适用于特定应用场景。专用SoC更接近MCU领域的应用,如TWS 耳机的核心是智能蓝牙音频SoC 芯片,其承担了无线连接、音频处理和其他辅助功能。

产业链下游:芯片制造头部效应明显。晶圆制造环节作为半导体产业链中至关重要的工序,制造工艺高低直接影响半导体产业先进程度。Fabless+Foundry+OSAT的模式成为趋势,Foundry在整个产业链中的重要程度也逐步提升。

同时半导体制造行业呈现非常明显的头部效应,根据IC Insights的数据显示,在全球前十大代工厂商中,台积电一家占据了超过一半的市场份额,前八家市场份额接近90%。

▲SoC芯片设计厂商与部分晶圆代工厂合作关系

SoC芯片应用领域广泛,消费电子和智能物联是SoC芯片需求的两大领域。在消费电子市场,智能手机、平板电脑等消费类电子的爆发式增长,催生出大量芯片需求,推动了芯片行业的巨大发展;智慧商显、

智能零售、汽车电子等新的应用场景和应用领域不断出现,为芯片设计厂商提供了良好的发展机遇;物联网及人工智能时代,创新科技产品的诞生为集成电路设计行业带来了更为广阔的市场机会。

三、行业成长驱动力

人工智能(AI)是计算机学科的重要分支,主要分为语音和视觉识别、自然语言处理以及深度学习等几大研究方向。21世纪以来,AI的产业化被应用于金融、教育、医疗、交通、汽车、制造、娱乐等各个行业。AI芯片是智能终端的硬件基础,各类应用场景丰富多样,在智能手机、智能音频、电子汽车、智能安防等方面提供硬件支持,不同应用市场下竞争格局分散。根据德勤数据,全球人工智能将在未来几年迅速增长,2025年市场规模将达到64000亿美元,2017-2025复合增长率达32%。

▲全球人工智能市场规模(单位:亿美元)

AI芯片也称为AI加速器,负责运行AI算法、处理AI应用中的计算任务。AI芯片按照应用端可分为云端(服务器端)芯片和终端(移动端)芯片;按照功能可分为训练(Training)芯片和推断(Inference)芯片;按照技术架构可分为通用芯片(GPU)、半定制化芯片(FPGA)和全定制化芯片(ASIC)。

未来的AISoC将形成以CPU为控制中心,GPU、FPGA、ASIC作为专用AI加速模块的格局。GPU、FPGA、ASIC在AI芯片中有不同的适用场景:GPU主要处理图像领域运算加速和复杂的通用性AI平台;FPGA常用于深度学习算法中的推断阶段;ASIC满足场景某一特殊场景的特殊定制,谷歌母公司Alphabet的 TPU、 寒武纪的NPU、地平线的 BPU、Movidius 的VPU等都属于 ASIC芯片。

▲AI专用芯片研发情况一览

随着机器学习(ML)、人工神经网络和机器视觉在AI领域的不断发展,AI加速器对CPU的补充能够处理海量数据,满足目标检测、人脸识别、语音助手等AI应用对高算力的需求,异构计算变得愈发重要。

CPU更擅长逻辑控制,算力较弱;相比之下,GPU计算单元(ALU)占比较大,算力远大于CPU;NPU是嵌入式神经网络处理器,拥有更强算力和更低功耗,当前各类AI算法主要利用深度神经网络等算法模拟人类神经元和突触,作为AISoC中处理AI算法的核心,NPU应用于智能识别、预测规划、智能控制等功能领域。

▲AI加速器对CPU的补充提高了芯片算力水平

1、汽车:汽车平台未来需要高算力

汽车半导体涵盖了汽车芯片、功率器件、传感器等重要电子零部件。汽车的计算芯片包括传统的MCU芯片和SoC芯片。MCU芯片一般包含CPU一个处理器单元;而汽车SoC一般包含多个处理单元。

ECU(Electronic Control Unit)即电子控制单元,随着汽车市场规模的逐渐扩大,ECU需求迅速上升,带动MCU芯片需求持续增加。需求的推动加上芯片产能不足导致近期汽车MCU芯片供不应求。

随着汽车算法算力和交互效率的不断提升,汽车电子不断发展,倒逼MCU芯片升级为SoC芯以承载大量非结构化算力需求。汽车SoC一般应用于高级驾驶辅助系统(ADAS)、自动驾驶两大领域。

▲汽车 SoC在ADAS的应用

在传统汽车分布式E/E架构(汽车电子电气架构)下,ECU相互孤立,车载功能的升级依赖ECU数量的增加。随着汽车电子智能化、自动化的发展,ECU在算法算力、数量、总线长、软件开发模式、生产成本等方面受到阻碍。

随着计算芯片的算力需求大幅提升,汽车E/E架构向集中化趋势发展,也对芯片提出了更高要求。特斯拉Model3中央集成化的发展将多个ECU功能整合在一起,逐步实现一台嵌入式高性能计算机统一控制多项功能。在新架构下,不同ECU对应的算法可实现整合,开发流程和成本可大幅缩短,高算力需求向中央集成化的“车-云计算”方向发展演变,快速反映仍需要分布式架构辅助。

智能汽车、车联网、无人驾驶等创新技术不断发展的背景下,我国汽车电子的市场规模及发展前景巨大。全球汽车电子市场规模2017-2022 CAGR为8%,到2022年将达到21399亿元规模;中国汽车电子市场规模2017-2022 CAGR为12.6%,到2022年将达到9783亿元规模,中国增速高于全球。

▲2019年全球汽车电子竞争格局

汽车电子逐渐向自动化、智能化和网联化发展,拉动汽车SOC市场需求。随着汽车座舱技术不断进步,汽车电子人机交互、一芯多屏和平台化发展成为重要技术趋势。交互系统、操作系统及车载娱乐是汽车SoC的核心组成。

自动驾驶领域,车载AI芯片快速发展,算力、功耗、生态等成为各厂商竞争车载AI领域的核心竞争力。NIVIDA具备完善的软件工具和应用生态,深入布局AI SoC;Mobileye(英特尔收购)凭借一体式解决方案和自动驾驶平台在AI领域占有一定份额;国内企业如地平线、黑芝麻、华为等发展迅猛,形成了自身的核心竞争力,有望逐步实现国产替代。

▲车载AI SoC

2、智能手机:智能手机是SoC最大的终端应用市场

智能手机是SoC最大的应用市场。智能手机CPU都基于Arm架构,通常以八核、六核的配置出现,其中大核具有强大性能,满足多种应用程序运行需求,小核则平衡发热和耗电问题。目前,最常用的智能手机CPU有苹果A系,骁龙系列,三星猎户座,华为海思麒麟,联发科以及小米的澎湃系列等。

智能手机SoC在工艺节点发展上将不断向4/5nm甚至3nm迈进。根据Counterpoint预测,到2025年全球60%的智能手机SoC将采用5nm及以下代工节点,N5节点将是代工厂路线图上的长节点,这成为台积电和三星扩产的驱动力之一。

主流5G智能手机的主要代工节点为6/7nm,联发科、高通和苹果为主要厂商。苹果的A系列和M系列芯片在5nm节点产能份额上处于绝对领先地位。

根据Statista数据显示,2009-2016年,全球智能手机总出货量迅速增长,达到14.7亿台。此后2020年受疫情等多方面影响出货量下降至12.8亿部。随着大数据、AI、IoT不断发展,智能手机更新换代有了新的需求。2020年是我国5G商用元年,根据Wind数据显示,自2019 年第三季度推出第一款5G 智能手机以来,我国5G智能手机出货量截止至2021Q1已累积达到24661.5万部,5G手机的商用和普及成为智能手机市场的重要推动力。

智能手机中加入的专用AI模块能够在图像处理、语音助手、电池管理等方面提供硬件加速支持。

华为和苹果均搭载了嵌入式神经网络处理单元(NPU),专用于处理AI计算。华为最早在Mate10采用外挂的寒武纪NPU,后在990系列上采用自研的达芬奇NPU。苹果从A11 SoC开始加入Neural engine,最新公布的A14 SoC中,NPU算力已有巨大提升,Neural engine结合CPU上的机器学习加速器能够大大提高AI应用体验。

▲智能手机SoC构成

3、平板、笔记本电脑:宅经济+疫情推动平板电脑需求,ARM为主流架构

2010年苹果推出第一代ipad后,全球平板电脑市场快速增长。2015年开始,智能手机逐渐挤占平板电脑的份额,出货量逐年下降;2020年,受疫情影响,居家办公和学习再次推动平板电脑的需求。根据Wind数据显示,2020年全球平板电脑出货量1.641亿台,同比增长13.6%。

根据Business wire数据显示,2020Q2,苹果在平板电脑处理器市场占据领先地位,占比高达43%,随后分别为英特尔(18%)和高通(15%)。全球平板电脑CPU主要采用ARM架构,仅有小部分追求高性能的Windows系统平板电脑采用英特尔X86架构。

未来笔记本将不断向智能化、便携化和专业化趋势发展,随着5G时代的到来和二合一、可折叠屏等新兴技术的推进,笔记本电脑行业有望迎来新一轮的增长。根据Statista预测,笔记本电脑市场2018年出货量为162.3百万台,2025年将达到272.4百万台,复合增长率为7.7%。2020Q3全球笔记本电脑市场出货量前五名厂商分别为惠普、联想、戴尔、Acer和华硕,其中惠普占据第一(26%)。

▲2018-2025年全球笔记本电脑出货量(单位:百万台)

在Arm、高通、苹果及微软等厂商的推动下,基于Arm的SoC在笔记本电脑市场的空间进一步打开。苹果于2020年11月推出的M1芯片是苹果第一款基于ARM指令结构的笔记本/台式电脑SoC。M1SoC的中央处理器有四个高性能核心和四个低功耗核心,极大程度优化了能效比,并采用苹果16 核NPU,能大幅提升ML应用的处理和计算速度。微软2019年10月发布的Surface Pro X笔记本首次搭载ARM架构高通定制版 Microsoft SQ 1 处理器。ARM架构能够进一步满足笔记本轻薄、高续航等方面需求,优化手机、电脑的协同性,将是笔记本SoC未来发展的重要趋势。

4、服务器:服务器市场规模呈上升趋势

近年来,IoT、5G、大数据的发展推动了服务器市场规模的增长。根据IDC数据显示,2010-2020年全球服务器市场规模总体呈增长态势,虽然2019年受全球贸易摩擦的影响市场规模呈现小幅下降,但2020年市场规模保持稳步上升,达到910.2亿美元。

2020Q3,戴尔以16.65%占据服务器厂商最大的市场份额,随后分别为惠普(15.94%)、浪潮(9.37%)、联想(5.88%)和华为(4.87%)。随着我国新基建的发展和对国产服务器的部署,中国厂商市场份额有望进一步提升。

▲2010-2020年全球服务器市场规模(单位:亿美元)

▲2020Q3全球服务器厂商市场份额

服务器根据体系结构可分成IA架构服务器和RISC架构服务器。IA架构采用CISC指令集架构,RISC主要为ARM架构,其他MIPS、ALPHA、POWER等架构在服务器市场生态系统较孱弱。在后摩尔时代,AI、5G、大数据增加了云端计算的需求,X86架构的优势逐渐减少,ARM架构的热潮逐渐兴起。

X86服务器主流微架构包括英特尔的Sky Lake、Cascade Lake、Cooper Lake、Ice Lake,ARM服务器微架构主要包括Neoverse N1、Neoverse V1(Zeus)。

▲服务器体系结构分类

根据ITCandor数据,2019年H1全球X86架构仍是服务器处理器架构的主流,占比为87.1%。其中,英特尔占据X86架构绝大部分市场份额,但随着AMD服务器处理器EPYC的销量逐渐扩大,AMD的市场份额有望继续上升。

近年来,Arm架构服务器SoC迅速崛起:Ampere基于ARM v8.2架构的Altra和AltraMax;亚马逊基于Arm Neoverse的64核Graviton2比第一代基于X86架构的服务器芯片性能提升40%;华为应用于泰山服务器的64核鲲鹏920处理器能效比超出同类产品30%;天津飞腾的S2500、FT-2000+/64、 FT-1500A/16等产品 。

5、AIoT:AI+IoT成为大势所趋,新应用领域不断拓展

AIoT在物联网的基础上加入AI技术,近年来发展速度迅猛。物联设备快速增长,全球智能硬件厂商争相布局,根据Transforma Insights数据,2030年全球物联设备将超过254亿台。根据艾瑞咨询数据,2018年中国AIoT市场规模达2590亿元,2022年AIoT业务将超过7500亿元。

在AIoT智能硬件端,MCU和SoC为主控芯片。其中,AIoTSoC通常集成多个AI模块,能够处理音视频等数据,和MCU相比能够更好地满足AI对高算力、低功耗的需求,提升物联设备交互体验和智能化水平,已占据智能终端芯片市场的主导地位。智能音视频、智能家居、智能安防及商办等AIoT应用将成为SoC重要的增量市场。

▲2019-2030年全球物联设备数量(单位:百万台)

AIoT技术的成熟催生了智能家电的需求和市场规模的进一步增长。根据Statista数据显示,2017年全球智能家居市场收入为38794.42百万美元,2025年将达到182442.72百万美元。2020年全球智能家居渗透率仅为10.62%,到2025年这一比例将达到21.09%。

▲2017-2025年全球智能家居市场收入(单位:百万美元)

智能音箱是智能家居核心接入口,集成了AI处理功能,具有语音交互功能。根据Statista预测2021年全球智能音箱出货量将达到152.5百万台。洛图科技数据表明,2019年我国智能音箱家庭普及率仅为13%,和西方国家相比有巨大上升空间,随着智能家居不断发展,智能音箱市场有望迎来新的增长点。智能音箱多采用SoC主控芯片,集成音频、视频相关IP,实现语音算法等AI功能。

根据IDC数据显示,2020年我国带屏智能音箱销量占比35.5%,同比增长了31%。带屏音箱将朝AI智能交互方向不断发展,为用户提供了语音交互、人脸识别、手势控制能功能,未来带屏音箱市场有望继续增长,对主控SoC的性能和集成度提出更高要求。

▲2015-2025年全球扫地机器人销售收入(单位:亿美元)

根据Statista预测,2017年全球智能家用安防市场收入为5770百万美元,2020年达到12095百万美元,收入在2025年将上升至27857百万美元,2017-2025年复合增长率为21.75%。

2025年全球智能家用安防活跃用户数将达从2017年的39.4百万上升至293.3百万,复合增长率为28.52%。2017年全球智能家用安防渗透率仅为2%,2025年将上升至12.9%。

▲2017-2025年全球智能家用安防收入(单位:百万美元)

智能家用安防市场包括智能摄像头、智能门锁和可视门铃。我国智能家用安防市场仍处于起步和快速发展阶段,随着5G、AI、WIFI-6技术的普及和产品成本进一步降低,该市场应用将加速落地,拉动硬件层面嵌入式SoC芯片的需求和发展。根据艾瑞咨询数据显示,2019年我国智能摄像头、智能门锁、可视门铃产品销量分别为4881、1159.9、161.1万台,2022年将分别达到8923、2202.8、515.7万台,智能家用安防市场规模将从2016年的156.5亿元增长到2022年392.9亿元。

随着智慧城市建设的不断推进,智能商显市场近年来快速发展。根据TCL数据显示,2009年中国商显市场规模为13亿元,2019年达到92.1亿元,复合增长率达21.6%。

商业显示作为人机交互的重要切入口,广泛应用于娱乐、教育、交通、工业、商办等场景,为SoC重要的增量市场。随着商显智能化发展,智能监控、人脸识别等AI功能愈发重要,主控SoC需要集成AI处理模块。我国主要商显SoC厂商瑞芯微推出了RK3399、RK3288、RK3188、RK3128 SoC,可应用于大型售货机、快递柜、数字标牌、会议一体机等中高端设备;全志科技也陆续推出A20、A64、A83T等主控SoC,为商显行业行业提供全方位芯片解决方案。

6、商用安防:正朝数字化、高清化和智能化方向发展

视频监控是安防行业最重要的业务之一。视频监控系统分为模拟监控系统分为模拟监控和网络监控,其对应的前端芯片分别为ISP芯片、IPC SoC芯片,后端芯片分别为DVR SoC芯片、NVR SoC芯片。前端设备负责采集图像、语音等视频信号,传输到监控系统中;后端设备负责控制视频信号的显示切换、对终端设备输出显示,以及存储。

在计算机技术、编码压缩技术、IC工艺、网络传输技术等信息与视频监控不断发展的背景下,安防视频监控行业正朝数字化、高清化和智能化方向发展。

ISP芯片是视频监控摄像机的重要处理模块,ISP芯片包含了CFA 插值、白平衡校正、伽玛校正、3D 降噪、边缘增强、伪彩色抑制、宽动态处理等功能模块,其作用是采集前端原始图像信号,并进行图像复原和增强处理,再将图像在后端DVR压缩和存储。DVR SoC芯片可将处理过的音视频数据进行检索回放。

IPC SoC是视频网络监控摄像机的核心,通常包含CPU、ISP、视频编码模块等,经采集过的视频原始数据经过ISP模块处理后,进行压缩并传输到后端NVR进行处理和存储。随着智能安防不断发展,IPC SoC将集成AI模块以实现人脸识别、智能侦测等智能应用。

IoT、AI、云计算和大数据在安防行业加速渗透,大量数据得到结构化的处理,经过智能分析后呈现给用户,“云边端”的智能安防体系不断完善。此外,传统监控很大程度依靠云端分析和处理数据,造成很大的数据传输和云端运输、存储压力。越来越多的IPC厂商将视频分析技术集成至前端,利用AI技术实现分布式智能监控、分析、处理和功能应用。

目前,传统视频解码芯片厂商海思、安霸、NVIDIA和Movidius(Intel旗下)已推出多款安防AI芯片,国内其他企业包括富瀚微、北京君正、立讯微、国科微、瑞芯微、地平线等超过20家企业也正加速布局该领域。

▲“云边端”的智能安防体系

IoT、AI、云计算和大数据在安防行业加速渗透,大量数据得到结构化的处理,经过智能分析后呈现给用户,“云边端”的智能安防体系不断完善。此外,传统监控很大程度依靠云端分析和处理数据,造成很大的数据传输和云端运输、存储压力。越来越多的IPC厂商将视频分析技术集成至前端,利用AI技术实现分布式智能监控、分析、处理和功能应用。

目前,传统视频解码芯片厂商海思、安霸、NVIDIA和Movidius(Intel旗下)已推出多款安防AI芯片,国内其他企业包括富瀚微、北京君正、立讯微、国科微、瑞芯微、地平线等超过20家企业也正加速布局该领域。

7、VR/AR:市场有望迎来新一轮增长

5G、AI、超高清视频、云计算的高速发展提升了VR/AR设备的体验感,随着娱乐、医疗、教育培训等应用需求不断增长,VR/AR产业有望迎来新一轮增长。根据BCG、 MordorIntelligence数据显示,2020年VR/AR产业市场规模为307亿美元,2024年将达到2969亿美元。VR/AR产品需要高集成化半导体元件支持,有望推动主控SoC发展。

VR产业广泛应用于To B、To C端,Facebook为主要厂商,其产品Oculus Quest 2实现了VR一体机和分体机市场的统一。AR产业发展较为缓慢,To B端涉及工业、医疗、安防、教育等领域,谷歌和微软为主要厂商。

随着AR办公、AR购物、VR直播等场景兴起,硬件方面Facebook、谷歌、苹果、三星等厂商纷纷推出应用产品及平台,5G时代的到来更是对AR/VR芯片算法、显示和通讯等模块提出了更高要求,全球各大芯片厂商积极布局AR/VR领域。高通2012年收购AR公司Blippar,2014年推出AR引擎Vuforia,2016年推出VR头显一体机VR820,在芯片端,高通一家独大,2018-2020年陆续推出针对AR/VR应用的骁龙XR1平台和XR2 5G平台,占据大部分市场份额。国内厂商全志科技、炬芯、瑞芯微等均推出了用于AR/VR领域的SoC处理器。

芯东西认为,SoC在追求高性能和低功耗的智能手机、平板电脑等芯片领域已占据主导地位,在自动驾驶、AIoT等领域也已得到应用, 随着AIoT、5G的不断发展,未来还将向更为广阔的应用领域扩展。

什么是安全运营中心(SOC)? - 华为

什么是安全运营中心(SOC)? - 华为

本站点使用Cookies,继续浏览表示您同意我们使用Cookies。

Cookies和隐私政策>

技术支持

运营商入口

公告

中文

English

首页

信息速查

IP知识百科

在线课堂

产品智能选型

首页

信息速查

产品智能选型

IP知识百科

中文

English

登录

提示

确定

取消

IP知识百科

IP知识百科

>

SOC

什么是安全运营中心(SOC)

安全运营中心(SOC),也称为信息安全运营中心 (Information Security Operations Center,ISOC),是一个集人员、流程和技术于一体的中心,负责全天候检测端点、服务器、数据库、网络应用程序、网站和其他系统的所有活动,以实时发现潜在的威胁;对网络安全事件进行预防、分析和响应,以改进企业的网络安全态势。SOC还可通过收集最新的威胁信息,跟踪基础设施和攻击团伙的情况,提前部署安全工作,在攻击者利用漏洞之前识别和修复系统或流程的漏洞。

目录

SOC有什么作用?

SOC常用的工具和技术

SOC的价值

华为SOC相关的解决方案

更多

收起

SOC有什么作用?

企业可以根据需求配置SOC的功能。一般来说,SOC的功能分为三部分:

SOC的三个主要功能

预防

资产清单:SOC使用资产发现工具对企业中需要保护的系统、应用程序、数据库、服务器等以及用于保护企业的安全工具进行盘点,识别漏洞。

漏洞评估:SOC进行漏洞评估,评估企业的软硬件资源的漏洞和相关成本,并进行渗透测试,SOC根据测试结果来更新安全策略或威胁事件响应计划。

预防性维护:为了最大限度地提高现有安全工具和措施的有效性,SOC可以进行补丁安装和升级、更新防火墙、白名单和黑名单以及安全策略和程序。SOC还可创建系统备份,以确保在数据泄露、勒索软件攻击或其他网络安全事件发生时的业务连续性。

检测

日志采集和分析:SOC通过防火墙等设备或检测系统等工具采集日志数据,进行分析,识别异常活动。

威胁检测:SOC从日志数据中识别可疑活动及其他入侵指标(Indicators of Compromise,IoC)告警。IoC可以表明恶意软件类型、可疑的DNS请求等信息及元数据,有助于识别攻击者和攻击动机。

安全信息和事件管理(Security Information and Event Management,SIEM):SOC中经常内置SIEM工具,以实现威胁的自动化处置。SIEM可以进行日志数据汇总、告警监测、安全事件分析等。

保护

威胁响应与修复: SOC负责制定企业的事件响应计划,通过隔离受感染设备、删除恶意文件、运行防病毒软件等措施来应对威胁,并进行根因调查和分析。SOC可以基于调查结果来改进现有的安全策略。

合规性报告:攻击发生后,SOC将受到破坏的数据数量和类型上报有关当局,确保企业遵守数据隐私法律法规。

网络安全事件通常由具备必要技能的SOC员工来识别和响应。该团队还会与其他部门或团队合作,与利益相关者共享事件的信息。一般来说,SOC全天候运行,员工轮班工作以处理威胁并管理日志活动。有时企业还会聘请第三方提供商提供 SOC 服务。大多数SOC采用分层结构来处理安全事件,不同层级的分析人员经验和技能不同。典型的团队结构如下:

SOC的三层团队结构

事件分类事件分类是 SOC 的第一层。 第一层级的分析人员通常来说经验最少,主要负责对发现的安全事件进行分类并确定事件的严重程度,包括确定事件来源、确定事件范围以及评估事件影响。此外,还负责提供初步响应和处置措施,并在必要时将事件升级到更高级别。

事件调查事件调查是 SOC 的第二层。第二层级的分析人员的专业能力更强,负责调查安全事件并确定事件的根本原因,包括分析日志、网络流量和其他数据源以识别事件来源。此外,还负责提供详细的事件报告和修复建议。

威胁搜寻威胁搜寻是SOC的第三层。第三层的通常由高级安全分析专家组成,主要负责搜寻企业网络中的威胁,使用先进的检测工具来识别漏洞,并提出改进企业整体安全性的建议。此外,还负责确保企业的威胁处置方法遵守行业和政府的法律法规。

通过使用正确的工具和聘请合适的分析人员来监控和管理企业网络,SOC可以检测和阻止威胁,并提高企业网络的安全性。

SOC常用的工具和技术

SOC使用各种工具和技术进行预防、事件记录、自动化、检测、调查、编排和响应等操作。SOC常用的工具和技术主要有以下几种:

安全信息和事件管理(SIEM)SIEM是SOC中最重要的工具之一。SIEM可聚合来自多个安全工具和日志文件的数据,通过威胁信息分析和人工智能技术,帮助SOC检测不断变化的威胁,加快事件响应速度,领先于攻击者。

安全编排自动化和响应(Security Orchestration, Automation and Response,SOAR)SOAR可自动执行重复和可预测的威胁事件响应和修复任务,腾出时间和资源以便进行更深入的调查和搜索。

扩展检测和响应(Extended Detection and Response,XDR)XDR 将安全工具集成到企业的整个混合基础设施中,将预防、检测、调查和响应结合起来,提供威胁可视化、威胁分析、事件告警和自动响应等能力,以提高数据安全性并对抗威胁。

防火墙防火墙可监控进出网络的流量,根据SOC定义的安全规则允许或阻止流量。

日志管理作为SIEM的一部分,日志管理会记录企业软件、硬件和终端的所有日志。从这些日志中,可获得网络活动的信息。

漏洞管理漏洞管理工具会扫描网络,有助于识别出攻击者可能会利用的漏洞。

用户实体行为分析(User and Entity Behavior Analytics,UEBA)UEBA内置在许多安全工具中,通过人工智能技术分析从各种设备收集的数据,为每个用户和实体建立正常活动的基线。当偏离基线的活动发生时,该活动将标记为需要进一步分析。

SOC的价值

SOC对企业的价值体现在以下几点:

全天候监控:网络威胁无处不在,要最大限度地降低企业面临的网络安全风险,就必须对企业的基础设施和数据进行全天候监控。SOC能对网络威胁进行全天候监控,并能立即对威胁事件进行响应。

威胁可视化: 随着企业网络日益复杂,维护整个网络的可视化和安全性变得更具挑战。SOC可提供展示企业网络基础设施和潜在攻击的直观视图。

改进预防措施和安全策略: 通过统一和协调企业的安全工具、安全实践和对安全事件的响应,SOC能够改进企业的预防措施和安全策略。

更快的威胁检测和响应: SOC可以提高企业的威胁检测、响应和预防能力,从而更快、更有效、更具成本效益地检测和应对安全威胁,减轻威胁对企业业务的影响。

提高客户信心:SOC可以提高客户对企业保护数据能力的信心。

确保合规性:SOC可以确保企业遵守行业、国家和全球隐私法规。

华为SOC相关的解决方案

华为安全运营中心解决方案由网络安全运营中心系统由网络安全统筹协同管理系统、HiSec Insight、日志审计、SecoManager组件共同组成。该解决方案运用大数据技术汇聚全网安全数据,构建集多维数据采集、态势感知、响应处置、运营管理为一体的安全运营中心,实现“统一安全运营管理、统一安全策略管控、统一安全威胁监测、统一安全运维”的四个统一管控。

其总体逻辑框架主要包含如下四方面内容:

运营管理:统一运维运营系统实现对安全事件的溯源、工单派发、闭环处置等安全生命周期管理。

数据采集:对各类安全日志数据进行采集、管理、检索和关联分析,这些数据包括但不限于安全设备、网络设备、中间件、操作系统、数据库、应用层等所有日志。

策略控制:策略控制实现对安全告警、风险、安全态势等信息汇聚,并进行关联分析、智能推理、分析研判和决策,形成安全防护控制策略和业务安全控制策略,基于决策结果进行服务的编排、调度和配置。

态势感知:态势感知是安全大数据的具体应用,包含安全态势、安全审计、安全风险评估和安全风险预警等功能。

华为安全运营中心解决方案架构分为运营层,分析层,管控层和执行层,将网络、安全、云平台的组件和安全能力统一调度和管理,为客户提供一体化、可视化、全局化的体验,实现统一安全运营和协同防护,提升效率。

安全运营中心解决方案架构

参考资源

1HiSec@安全运营中心解决方案设计与部署指南

相关词条

词条统计

作者:

曾月清

最近更新:

2023-07-26

浏览次数:

6851

平均得分:

页内导航

SOC有什么作用?

SOC常用的工具和技术

SOC的价值

华为SOC相关的解决方案

问卷调研

帮助中心

在线客服

分享链接

意见反馈

分享链接到:

意见反馈

关注我们

关于华为

华为公司简介

关于企业业务

查找中国办事处

新闻中心

市场活动

信任中心

如何购买

售前在线咨询

提交项目需求

查找经销商

向经销商询价

合作伙伴

成为合作伙伴

合作伙伴培训

合作伙伴政策

资源

华为“懂行”体验店

e直播

博客

资料中心

视频中心

电子期刊

成功案例

快速链接

互动社区

华为云

华为智能光伏

华为商城

华为招聘

版权所有 © 华为技术有限公司 1998-2023。 保留一切权利。粤A2-20044005号

隐私保护

|

法律声明

|

网站地图

|

安全运营中心(SOC)_安全态势感知平台_全网安全态势感知平台 - 腾讯云

安全运营中心(SOC)_安全态势感知平台_全网安全态势感知平台 - 腾讯云

腾讯云最新活动HOT产品解决方案定价企业中心云市场开发者客户支持合作与生态了解腾讯云关闭

搜索

T-Sec 安全运营中心腾讯安全面向政府、金融、制造业、医疗、教育等大中型企业推出的智能安全运营平台。以云原生技术为基础,仓湖一体化大数据平台为底座,MITRE ATT&CK技战术框架为指导,结合腾讯领先的威胁情报能力、AI和可视化技术,聚焦TDIR(Threat Detection, Investigation and Response),打造智能化安全运营平台,提升安全运营效率,实现企业全网安全态势可知、可见、可控。咨询申请产品文档产品特性新一代 SOC 体系结合腾讯二十年安全运营经验,遵从安全自适应以及业界领先的新一代安全运营架构构建。无缝集成流量采集、日志采集、安全事件综合分析、威胁情报、漏洞管理、资产管理以及腾讯响应阻断系统,从而构成安全运营整体方案。租户粒度运营与腾讯云平台深度贴合,支持按平台粒度运营及租户粒度运营。支持租户数据隔离与账号分发,借助平台可实现租户安全托管服务与租户自服务。威胁情报威胁情报数据来源覆盖 PC 端、手机终端、企业邮箱和公有云上的各类产品,拥有最实时的威胁情报数据库。数据由专门团队进行运营,及时提供专业、全景化以及分类化的威胁情报知识图谱。情报准确度高,覆盖面广。安全智能在传统 SIEM 基础上,引入智能检测与分析思路。有效识别已知与未知威胁。通过图技术与机器学习技术对百万级日志告警量提进行模式提炼,让日常海量的安全事件分析可有效落地执行。可视呈现15套简单、专业的可视化图表模板,自定义数据来源过滤条件,充分挖掘安全数据的价值。3D游戏级引擎支撑,高质量展现安全态势,有效引导用户决策。异常检测对用户和实体等关键对象的行为进行细粒度的持续分析、建模,发现偏离基线的异常行为,自动还原攻击时间线、用户和实体风险优先级和行为上下文。全面提升企业安全运营中对外部和内部的高级威胁发现能力。应用场景安全等保合规、安全运营态势感知重保场景方案云中心多租户安全运营(云MSSP)多级统一安全态势感知集团安全运营中心解决方案对安全事件、漏洞、资产等安全要素全方面运营,满足等保2.0关于安全管理、日志审计等合规需求。云中心建设过程中需要构建端、管、云统一协同安全整体方案,实现覆盖端(主机侧)、管(网络侧)、云(综合分析大脑)的态势感知系统。SOC+安全运营体系腾讯“SOC+安全运营体系”中的“+”有三层含义,首先是以威胁情报云打造安全生命周期“闭环能力”,其次通过连接效能提升实现安全能力的“集群效应”,最后以开放平台构建产业链共赢“朋友圈”,实现全产业链安全运营价值共享。区别过去烟囱式的安全建设方式,腾讯“SOC+安全运营体系”通过原子力(Atomic Force)、产品力(Product Force)和生态力(Ecological Force)打造全新的安全运营体系与架构,从安全形态、安全价值、安全思维等战略视角,更全面地审视安全问题,有效解决制约安全运营体系建设过程中的诸多瓶颈问题。SOC+能力图谱腾讯安全基于20多年攻防实战经验,业内领先安全实验室的安全能力、算法算力平台的安全大数据和AI技术,率先推出腾讯“SOC+安全运营体系”,通过原子力、产品力和生态力打造全新的安全运营体系与架构。腾讯SOC+集成了TIX威胁情报中心、SOC安全运营平台、NDR网络威胁检测与响应、MDR安全运营服务四大产品矩阵,助力政企机构全面提升安全防护能力和安全运营效率。相关云产品SOC安全运营平台NDR御界高级威胁检测系统NDR天幕安全治理平台TIX威胁情报云产品家族SOC安全运营平台SOC安全运营平台是腾讯安全面向大中型企事业单位推出的一款智能化安全运营平台。平台以云原生技术为基础,仓湖一体化安全大数据平台为底座,MITRE ATT&CK技战术框架为指导,结合腾讯领先的威胁情报能力、AI智能和可视化技术,聚焦TDIR(威胁检测、调查和响应)威胁运营,有效提升企业安全运营效率。领先的威胁检测覆盖率极致的告警降噪能力领先的大数据技术架构自动化事件分析调查NDR御界高级威胁检测系统腾讯NDR高级威胁检测系统结合腾讯多年积累的海量安全数据,运用AI算法、专家规则、TAV引擎、哈勃沙箱、威胁情报等技术,实时发现流量中的识别网络攻击及高级威胁(APT),进行全流量分析、溯源和阻断,适用于攻防演练、重保场景以及云上/云下/混合云全网流量安全运营场景,大幅提升威胁感知能力。覆盖全流量威胁检测未知威胁感知快速响应最新漏洞自动化威胁检测及响应NDR天幕安全治理平台腾讯NDR天幕安全治理平台,是基于腾讯安全服务内部数百条业务线的运维经验积累和天幕PaaS算力算法平台能力的结合,通过旁路部署的方式,提供了网络层访问控制功能,结合腾讯威胁情报,解决大流量场景海量攻击防护、ACL控制等问题,并开放API辅助客户建设安全响应中心。旁路阻断高阻断率开放API性能优秀TIX威胁情报中心TIX威胁情报中心是一款集成TIX-ASM(攻击面管理)、TIX-查询社区、TIX-SDK/API(情报原子能力)、TIP(威胁情报平台)多功能于一体的开放平台,提供第一手威胁情报,一站式情报服务。提供多样化的交付方式满足不同用户需求,与其他安全产品结合提升安全解决方案的检测与响应能力海量的情报数据能力领先的威胁情报云独特的安全协同能力情报应用多样化客户案例SOC+安全运营体系帮助客户全面掌握安全态势,形成安全预警、分析、取证、响应全生命周期闭环,提升安全团队整体安全运营能力,助力夯实产业互联网的安全底座。金融行业案例安全告警海量、分散,难以挖掘有效信息,溯源攻击事件,无法有效应对高强度的攻防对抗。通过建设一体化的SOC安全运营平台,以“安全可视、数据融合、统一界面、多种手段、联动闭环”应对运营挑战物流行业案例传统的安全防护无法应对勒索病毒、钓鱼邮件等攻击。通过部署腾讯NDR,对流量进行解析、还原和存储,发现恶意攻击;融合腾讯云端威胁情报能力,对告警研判和定性;通过自动阻断策略,快速封堵攻击金融行业案例TIX威胁情报中心提供情报云和攻击面管理能力,面向客户的互联网信息系统进行全量的测绘,同时利用云端情报赋能本地安全产品,协助客户实时动态的收敛暴露面,拦截攻击车企行业案例混合云场景数据分散、管理复杂,攻击面大,数据割裂。通过建设SOC混合云安全运营平台,实现多云混合云安全统一风险评估、威胁检测、资产管理、响应处置、监控展示,简化多云安全运营和事件处置制造行业案例客户选择SOC+安全运营体系。结合多源数据,实时分析网络中的攻击行为、脆弱性、威胁,与IT系统深度结合,全面掌握安全态势,形成预警、分析、取证、响应全周期闭环,提升安全水位。上一页下一页央视网上汽集团数字广东中国银联深交所工商银行建设银行中信银行招商银行民生银行光大银行华夏银行渤海银行中信证券中金财富微众银行国信证券易方达基金南山医院深圳大学中海地产越秀集团顺丰海尔百丽京东数科唯品会科大讯飞货拉拉畅游帮助与文档SOC产品简介介绍安全运营中心(SOC)的主要功能和产品优势。购买指南介绍安全运营中心的购买方式词汇表介绍安全运营中心的基础词汇常见问题安全运营中心(SOC)可以管控安全设备吗?安全运营中心(SOC)定位为感知分析,将流量、日志等数据汇聚后,进一步关联分析与展现,安全运营中心(SOC)不对其他设备进行管理与控制。NDR产品对之前已经采购过的其他安全产品、数据分析平台等能否兼容?TIX威胁情报中心是什么?安全运营中心(SOC)的大屏可以定制吗?如何购买安全运营中心(SOC)?更多问题请查看 常见问题,也可在 问答社区 中进行提问 。SOC+安全运营体系已发布,请点击下方按钮来申请使用。申请审核通过后将会有专人负责与您联系。立即申请

什么是智能手机中的SoC,SoC的用途是什么? - 知乎

什么是智能手机中的SoC,SoC的用途是什么? - 知乎首页知乎知学堂发现等你来答​切换模式登录/注册智能手机科技手机芯片SoC手机处理器什么是智能手机中的SoC,SoC的用途是什么?关注者11被浏览37,662关注问题​写回答​邀请回答​好问题​添加评论​分享​7 个回答默认排序草履虫稽亚娜所以,什么时候加强亚托克斯呢?​ 关注SOC,就是System-On-Chip,片上系统,在手机中一般指集成了多种功能的一块芯片。我们熟知的骁龙855、麒麟990就是SOC。骁龙855、麒麟990这样的SOC,一般集成了很多不同的功能:1、CPU,负责处理计算任务2、GPU,负责图像渲染3、基带,负责通信能力4、ISP,负责处理相机数据5、DSP,负责解码等功能6、NPU,负责人工智能运算7、其他部分你可以看到无论是骁龙855还是麒麟990都集成了非常多的功能,因此一般我们不叫它“CPU”而是叫它“SOC”。发布于 2020-04-17 10:06​赞同 59​​1 条评论​分享​收藏​喜欢收起​新思科技​已认证账号​ 关注1973年,纽约街头的一通电话宣告着世界上第一部手机的诞生。彼时,这部名叫Dyna TAC的手机,内部的电路仅包含了几千个晶体管,重量就已达到1.1千克。甚至可以拿来当作健身器械(bushi 随着集成电路技术的发展,1987年手机成功减重到了700多克。直到90年代——SoC技术诞生,让手机彻底在瘦身这条路上“开挂”狂奔。不断小型化、轻型化,在那个还没有大屏手机的1990年代,手机重量已仅有100多克。SoC(System-on-Chip),即系统级芯片,也叫片上系统。顾名思义,SoC就是将整个系统集成到一个芯片上。如果拆开一部“减肥”前的手机,我们将能看到CPU、RAM、Flash、基带信号处理器、混合信号IC等十几个大大小小的芯片零零散散地躺在又厚又重的盒子里。而SoC技术有着超强的“收纳能力”,把这十几个芯片的功能都轻松打包到了一起,变成了一整个系统芯片。如今,智能手机的SoC包括的模块有CPU(中央处理器)、GPU(图形处理器)、ISP(图像处理器)、DSP(数字信号处理)、NPU(神经处理单元)、基带等。CPU即Central Processing Unit中央处理器,应该是大家最熟悉的一个。它是我们电脑、手机等众多电子产品的“核心”,不管看视频、玩游戏,还是聊天、上网课,其实都是靠它来统一指挥调度,发号施令。GPU全称为Graphics Processing Unit,就是图形处理器。它只有很少的控制单元和缓存,但是堆满了运算单元,因此能够处理以图形任务为代表的大规模并发运算。能够同时处理大量重复的计算工作,与CPU强强联手让大家打游戏时体验丝滑又惊人的视觉效果。更多关于CPU和GPU的科普,也欢迎戳下面了解更多!ISP全称为Image Signal Processor,中文是:图像处理器。注意❗这和 “图形处理器”GPU区别很大哦!ISP负责接收感光原件CMOS的原始数据,对这些数据做出“粗加工”,得到最后我们看到的照片。简单来说ISP处理来自摄像头的数据。DSP全称叫Digital Signal Processor,中文为:数字信号处理器。它不仅应用在手机,在音/视频播放、雷达、通信、图像处理、医疗电子、工业机器人等诸多高密集计算领域皆有广泛应用。在手机中DSP主要负责语音,包括通话和语音输入,也负责一些图像处理的任务。NPU的全称为Neural-network Process units,也就是嵌入式神经网络处理器。这个名字听起来就很利害(狗头)。简单来说,它主要负责处理涉及神经网络算法和机器学习的海量数据。因为神经网络算法及机器学习需要涉及海量的信息处理,而当下的CPU和GPU都无法达到如此高效的处理能力。让一个独立的芯片模块来做这种任务效能高得多,这便诞生了NPU,它让手机变得更智能。基带芯片可以说是手机与外界联系的纽带,与射频前端共同负责手机与外界的通讯。射频前端则主要负责信号的数字/模拟转换工作,同时还要负责信号的放大。二者共同组合决定了手机的制式,简单来说,决定了一部手机是4G还是5G。CPU可以看作是SoC的“大脑”,而SoC就是智能手机的“头部”。正是通过SoC,如今的智能手机已经可以完成运行大型软件APP,玩3D大型游戏,高速高清拍摄等等极为复杂的功能。智能手机功能更复杂了,如何做到重量还变得更轻了?这很好理解,最初的手机就像“东市买骏马,西市买鞍鞯”,为了采买全身装备动辄跑上一大圈。SoC技术直接把所需要的模块集成到一起,效率自然就上来了,手机便成功“瘦身”。 这种技术不仅能够大幅度减少芯片数量,还能够显著提升效率、缩短互联时间,更方便设计人员进行系统级优化,从而大幅降低系统整体功耗。基于这些优势,SoC技术被普遍应用在移动和物联网设备中,除了智能手机、手表和平板电脑,还延申到汽车电子、工业控制等领域。新思科技作为芯片行业中最上游的EDA和IP公司,始终在与众多行业的科技伙伴携手创新,实现从设计到产品应用的联通。让科技的力量不断释放,刷新人们的体验和生活方式,打造Pervasive Intelligence万物智能的数智未来!编辑于 2024-01-29 17:40​赞同 6​​添加评论​分享​收藏​喜欢